Presentation + Paper
1 December 2023 Track integrated backside cleaning towards high-NA EUV: correlation of backside contamination with frontside patterning performance
Author Affiliations +
Abstract
The microchip fabrication process consists of hundreds of steps, where each step can contribute to the backside contamination of the wafer. When clamping a wafer in the exposure tool, the presence of backside defects can lead to various issues, including local deformation of the wafer or clamping distortions, that result in focus loss or on-product overlay drifts in that area. With device scaling and the introduction of High NA EUV, we anticipate backside defects to be a more severe problem for frontside patterns. The lenses of 0.55 NA EUV systems will have a very small depth of focus compared to 0.33 NA EUV scanners, meaning that a defect present on the wafer backside can easily translate into frontside pattern failures. Additionally, backside contamination increases the risk of damage to the scanner wafer-table (WT) having a negative impact on its lifetime, maintenance cost and productivity. In this work, to better understand the impact of backside contamination on the EUV patterning performance, a new characterization approach was set up based on an optical inspection technique, Pattern Shift Response PSR. Together with SCREEN, we could demonstrate a good correlation between backside contamination, detected by the levelling measurements from the NXE3400B, and frontside pattern distortions. Additionally, the impact of backside contamination on wafer CD uniformity was investigated by measuring CD across. We confirmed that by using more robust cleaning techniques we were able to reduce the number of backside defects and increase wafer yield.
Conference Presentation
(2023) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Jelle Vandereyken, Vincent Truffert, Sandip Halder, Elke Caron, Wesley Zanders, Andreia Santos, and Masahiko Harumoto "Track integrated backside cleaning towards high-NA EUV: correlation of backside contamination with frontside patterning performance", Proc. SPIE PC12750, International Conference on Extreme Ultraviolet Lithography 2023, PC127500Q (1 December 2023); https://doi.org/10.1117/12.2687017
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Contamination

Scanners

Optical lithography

Extreme ultraviolet

Particles

Displays

Back to Top