Open Access
21 May 2012 Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects
Author Affiliations +
Abstract
Today intermediate-focus equivalent extreme ultraviolet (EUV) power of several watts is now available, and EUV lithography scanners are being considered as potential scanners for high-volume manufacturing (HVM) tools. However, for high-volume manufacturing with throughput of over 100 wafers per hour, EUV power of 350 W may be required. We review the history of EUV sources for lithography with tin as fuel. We discuss the ideal plasma for tin sources for extreme ultraviolet lithography (EUVL), conditions for a high conversion efficiency of 4% to 5% in 2Πsr, and the existence of a repetition rate limit at around 40 kHz. We review the present status reported by EUV source suppliers and the prospects of tin laser-produced plasma as an EUV source for HVM EUVL.

1.

Introduction

Extreme ultraviolet (EUV) lithography scanners are being considered as a high-volume manufacturing tool after many technological developments over many years around the world. EUV source has been always the top critical issue in extreme ultraviolet lithography (EUVL) development. The mask blank inspection was once considered a showstopper in implementing EUVL. The author invented an at-wavelength inspection method using a plasma source,1,2 and the concept was experimentally demonstrated.3 While, in these few years, mask pattern inspection and photoresists are considered the top critical issues, the source technology is still one of the most challenging issues.

Owing to hard work of source suppliers, intermediate-focus (IF) point equivalent EUV power of several watts is now available4,5 which allows printing of fine patterns with throughput of several wafers per hour. However, for high-volume manufacturing with throughput of over 100 wafers per hour, EUV power of 350 W is supposed to be required.6 Is it really possible from the physics point of view? What are the technological challenges?

In this paper, we briefly review the history leading to a tin laser-produced plasma (LPP), describe the ideal source, and discuss prospects of tin LPP.

2.

History Leading to Tin Plasma Based EUV Sources

2.1.

First Signs of EUVL

In the author’s view, the EUV source technology was always the most critical issue in realizing EUV lithography. While some people such as Silverman,7 Lin,8 and Levinson9 reviewed EUVL, the source technologies were only briefly described. They did not mention in detail the historical change from a Xe plasma to a Sn plasma.

As a person involved deeply in EUV source development and as the person who strongly advocated the necessity of using tin as a fuel, the author would like to review the history of EUVL with the emphasis on the EUV source technologies.

To the author’s knowledge, the first proposal of EUVL was made by Kinoshita10 in 1986. Later, they printed 500 nm half pitch patterns.11 EUVL was recognized as promising after a report of 50 nm printing12 performed at Bell Labs of AT&T in 1990, and Japanese researches13 followed them. At that time, multilayer technology was immature, and polishing technology of optics was too poor to apply for fabricating EUV optics. However, among many technologies to be developed for EUVL, the most difficult technology was an EUV source.

Exposures demonstrating feasibility of EUVL by Kinoshita,11 Bell Labs,12 and Japanese groups13 were performed using synchrotron radiation (SR). However, SR can never be a source for EUVL. Most people might think the reason is the size and cost of SR, but that is not true. The true reason is that SR cannot supply the EUV power required in EUVL. SR emits collimated light, and the EUV intensity on a sample is very high, but the power integrated over a large solid angle is very low, because SR emits light only within an extremely small solid-angle. In order to get EUV power of more than two orders of magnitude higher than available from SR, an ultra-high brilliant plasma point source had to be developed.

2.2.

Full-Scale Development of EUVL Initiated by Xe Gas Jet LPP

2.2.1.

Xe gas jet LPP

EUVL turned into a promising candidate for next generation lithography only after the demonstration of a long lifetime of a condenser multilayer mirror exposed to a plasma.14

Previously, LPP was generated on a gold plate target or on a wire target with or without an ambient gas to stop debris (micro particles) from the target. In spite of efforts in the US to suppress debris, the lifetime of a multilayer mirror for collecting EUV light from an LPP stayed at a level several orders of magnitude shorter than required even by using an ice of rare Xe gas as a target of LPP.

Fiewdrowich15 introduced a Xe gas jet plasma as an x-ray laser medium in 1994. Kubiak14 applied Fiewdrowich’s idea to LPP for EUVL and succeeded in solving the debris issue. Since then, many efforts have been performed in the US to increase EUV power. Cutting Edge Optics reported a road map of achieving 25 W at 13-nm EUV within 2% band-width into πsr at the source from an LPP pumped with a 4.5 kW YAG laser.16

The history tells us that the most important things in developing EUV sources are generating a high efficiency source and suppressing contamination of surrounding optics by debris and ions.

The problem of generation of Xe ice fragments was solved by the gas-jet scheme at Sandia Laboratory. However, erosion of optics caused by high energy ions in a Xe plasma remained to be solved.

2.2.2.

Development of discharge produced plasma (DPP)

When the development of EUVL was started in the early 1990s, EUVL was expected to be introduced from the 100 nm-node generation, and the required EUV power was only a few watts. Then, a 1-kW YAG laser was enough to generate this power. However, in the mid-1990s, EUVL lost its momentum, the ArF lithography technology progressed, and the introduction of EUVL was postponed to 70-nm-node or 50-nm-node generation. Then, the number of mirrors in the projection optics increased from four to six, and the number of mirrors in the illumination optics increased for a higher printing resolution. Because of these additional reflections, the required EUV power jumped to 50 W from several watts.

Then, the power required for a YAG laser also jumped from 1 kW to 10 kW or higher, and the cost of a pumping laser became a big concern. In order to look for a low-cost source, studies of discharge-produced plasma (DPP) started.

Initially, a capillary discharge plasma was considered promising. However, due to the erosion of electrodes, a hollow cathode type plasma became the main DPP option. In DPP, a plasma is generated near the electrodes, and suppression of erosion of electrodes and erosion generated contamination are the most critical issues. By the invention of a rotating disk target, the erosion of electrodes was significantly reduced.

2.3.

Uniqueness of EUVL Development

The biggest difference of the way to develop EUVL technologies from the way in other lithographies is not related to vacuum but to the way that EUVL needed in terms of basic research. In KrF and ArF lithography, most of necessary technologies were already established, and a trial-and-error approach worked. In EUVL, the trial-and-error approach does not work to solve problems of debris generation, erosion, and so on. Deep knowledge of physics is required to solve fundamental problems which appeared during development of EUVL technologies, including the choice of a fuel for an EUV source.

2.4.

Change from a Xe Plasma to a Sn Plasma

2.4.1.

Advocacy of a Sn plasma

In the mid-1980s, O’Sullivan et al. found that a strong narrowband emission is generated from a plasma of rare earth elements, that the peak wavelength scales with the atomic number of the element, and that a Sn plasma emits a strong band with the spectral peak at 13.5 nm.17 While many people knew the works by O’Sullivan et al., no one considered a Sn plasma as an EUV source, because a Sn plate was notorious in generating tremendous amount of debris. Furthermore, the practical conversion efficiency (CE) of a Sn plasma produced on a Sn plate was not so high compared with other elements like gold.18

At the early stage of EUV source development, people discussed the plug efficiency only. The author emphasized the importance of reducing heat load in vacuum. The author argued that increasing CE and the collection efficiency were critically important to reduce heat load in vacuum, which would limit extractable EUV power. The author claimed that Sn is the unique choice for a fuel to increase CE, and that an LPP is the choice for realizing high collection efficiency. The author advocated the use of tin in the second EUV workshop in 200119 as shown in Fig. 1, and in the 3rd EUVL workshop in Matsue in 200120 as shown in Fig. 2.

Fig. 1

The view graph used in the author’s talk at the second EUVL workshop in 200019 to emphasize the importance of reducing heat load in vacuum.

JM3_11_2_021109_f001.png

Fig. 2

The view graph used in the author’s talk at the third EUVL symposium in 200120 to advocate that the Sn LPP is the unique solution for high-volume production EUVL.

JM3_11_2_021109_f002.png

2.4.2.

The “tin conference”: the EUVL symposium in Dallas in 2002

The first EUVL symposium held in Dallas in 2002 was a historical conference. Major DPP source suppliers announced that they would challenge to switch from a Xe plasma to a Sn plasma. JMar Inc., which did not mention the fuel of LPP, first disclosed in this conference that their LPP used tin droplets.

Before this conference, source suppliers claimed that they could achieve several tens of watts in a few years by using a Xe plasma. However, after best efforts to increase power of a Xe plasma, they realized that scaling up EUV power by Xe plasma for both DPPs and LPPs was reaching the engineering limit. In terms of cleanness of a plasma, Xe is indisputably advantageous over Sn. In spite of foreseen extreme difficulty of avoiding Sn deposition on all surfaces, they needed a high CE plasma to break the power limit encountered by a Xe plasma.

3.

The Ideal EUV Souce and the Limit of EUV Power

3.1.

Theoretical Limit of EUV Power

The author mentioned20 that any source cannot exceed the blackbody brilliance, PBB. Power of a radiation source into a solid angle of 2πsr is given by the following equation21 when opacity of the source τopa is well smaller than 1.

Eq. (1)

P/2πsr(π/2)D2PBBτopatpRrep.
Here, D, τopa, τp, and Rrep are the diameter, opacity, emission duration, and repetition rate of the source, respectively. The blackbody brilliance, PBB of 2% bandwidth at 13.5 nm is

Eq. (2)

PBB=4.2E9W/cm22%bandwidth at50eV.
Therefore, when τopa=0.5, tp=8ns, and Rrep=10kHz, P/2πsr for a plasma of 500 μm diameter is

Eq. (3)

P/2πsr660W.
If we can collect radiation with as large a solid angle as πsr, we can have a power PIF at IF, i.e., the entrance of the illumination optics,

Eq. (4)

PIF130W,
when the reflectivity of a collector is 50% and transmission of debris mitigation apparatus is 80%.

3.2.

Spectral Efficiency

As explained above, it was well known that a tin plasma emits a strong narrowband emission band at 13.5 nm from the works17 performed in the 1980s. However, the observed CE at 13 nm within 2.5% bandwidth for a tin plate was only 1%, and the difference between CE for a gold plate was small.18 Hence, the simple change of a Xe plasma to a Sn plasma does not increase CE so much. Therefore, we have to examine how CE is determined.

Total conversion efficiency ηtotal is given by a product of four efficiencies:21 energy deposition efficiency ηabs, radiation efficiency ηrad, spectral efficiency ηS, and collection efficiency ηG.

Eq. (5)

ηtotal=ηabs×ηrad×ηS×ηG.
The author defined21 spectral efficiency ηS as the ratio of the usable energy emitted in 2% bandwidth to the total radiation energy.

As shown in Fig. 3, the spectral profile of a plasma generated on a tin plate in usual irradiation conditions is very broad, and spectral efficiency ηS is very low, which leads to a low CE.

Fig. 3

A spectrum from a plasma generated on a tin plate is broad, and spectral efficiency is low, causing a low CE. By using a cavity confined target, we succeeded in realizing high spectral efficiency first with an LPP.

JM3_11_2_021109_f003.png

The broad spectrum of a plasma on a tin plate is caused by a large opacity, τopa,the optical thickness at 13.5 nm. The brilliance of a source, P, is given by

Eq. (6)

P=PBB[1exp(τ)].

By increasing the plasma thickness, the intensities of weak emission peaks, whose opacity is low, grow near linearly,PPBBτ, but the intensity of a strong peak, whose opacity is large, saturates to the blackbody brilliance, PPBB. Hence, the ratio of the energy flowing to the 13.5-nm band is reduced. Therefore, for a high spectral efficiency, the opacity at 13.5 nm must not be too large.

In order to realize high spectral efficiency, the author devised the cavity confined scheme,22 in which a material for plasma generation is supplied by laser ablating a concave surface in order to reduce opacity23. As seen in Fig. 3, we succeeded in demonstrating that high spectral efficiency can be achieved by a Sn plasma. The spectral efficiency, ηS, of the cavity confined plasma shown in Fig. 3 was estimated to be 10%. From spectra reported for DPPs, we know the spectral efficiency ηS can be as high as 18.6%.24

3.3.

Opacity and Plasma Density

When a plasma is very thin, brightness of the source is proportional to the opacity, and opacity is to be increased to increase EUV power. On the other hand, for a high CE, opacity must not be too large, as discussed above. The optimum opacity is considered to be in the range τ=0.21.0.

The absorption cross section, σ, of a tin plasma at the wavelength of 1314nm is reported25 to be σ2E17cm2. If this value is correct, when the plasma dimater is D=500μm, the electron density ne=4.2E18cm3, and the averaged charge state of the plasma, Z, is 12, the opacity, τ, of the plasma is calculated to be

Eq. (7)

τ=2E17cm2×4.2E18cm3/12×0.05cm=0.35.

With this near optimum opcaity value, the spectral efficiency, ηS, can be as high as 12%. Then, when the product of the absoprtion efficiecny and the radiation efficiency is 70%, total conversion efficiency, ηtotal=ηabs×ηrad×ηS, can be as high as 4.2%/2πsr. And the brilliance of the source becomes 4.2E9W/cm2×0.35=1.5E9W/cm2.

3.4.

Radiation Efficiency

We showed theoretically and experimentally26 that there exists the optimum electron density which gives the highest radiation efficiency.

When heat conduction is neglected, a laser absorbing layer having a thickness of Labs=1/α can be considered as the emitting layer. We assume a laser light is absorbed via inverse bremsstrahlung with the absorption coefficient of α, which is given by27 α=aZne2, where a=6E37λlaser(μm)2/Te(eV)3/2(cm)5, λlaser is the laser wavelength, Te is the electron temperature, Z is the charge state of the plasma, and ne is the electron density.

The laser absorbing layer expands with the expansion velocity Vexp given by28

Eq. (8)

Vexp=(Z/M)1/2Te(eV)1/21.6E6cm/sec,
where M is the atomic mass number of the element.

When the electron density is very low, the radiation efficiency increases for a higher density, because the photon flux per ion is proportional to the electron density. On the other hand, when the electron density is very high, the radiation efficiency decreases for a higher density, as explained below.

Because the absorption coefficient α is proportional to the square of the electron density, the laser absorbing layer becomes transparent to a laser light after the expansion time defined by texp=Labs/Vexp. Then, the next layer in the target absorbs the laser light and expands shortly. Thus, when a laser pulse duration tlaser is longer than the expansion time texp of the laser absorbing layer, i.e., Vexptexp=Labs<Lexp=Vexptlaser, a target is kept ablated during laser heating, and the total number of ions in the plasma Ni,total increases with time,

Eq. (9)

Ni,total=(Lexp/Labs)Ni,emit.

The emission power of the layer is lost after expansion, because the radiation power per ion is proportional to the electron density, and the emitting volume and radiation power proportional to Ni,emit remain unchanged. Therefore, an increase of Ni,total increases the energy for creating the plasma without an increase of radiation energy, and then the radiation efficiency decreases.

From these considerations, the maximum radiation efficiency will be achieved when Lexp=Labs. This was experimentally confirmed26 by using the particle-distributed target.

In the ideal plasma, the radius, r, should be close to the laser absorption length. Therefore, the optimum laser pulse duration, tlaser,opt, is given by

Eq. (10)

tlaser,opt=Labs/Vexp=r/Vexp.

The plasma expansion velocity, Vexp, is given by Eq. (8), Z/M10 and Te50eV, then Vexp3.5E6cm/sec. Then, when the diameter of a plasma, D, is 500 μm, tlaser,opt7ns.

When the radiation efficiency is large, however, the energy for creating a plasma is very small compared to the radiation energy, and then the effect of increase of plasma creation energy is not large. Therefore, the condition discussed above is not a stringent one. It means that allowance for the laser duration will be very wide. The most stringent condition for achieving a large CE is the opacity.

3.5.

Upper Limit of Repetition Rate

There exists an upper limit in the repetition rate. This was one of the hot topics of the Xe jet target technology at the early stage of EUV source development. Inolite Inc. showed beautiful pictures which showed that a frozen xenon jet was bent by the plasma created on the jet.29

A high-temperature plasma exerts a high pressure. As shown in Fig. 4, a jet of SnO2 suspension was blown off by the high pressure of a plasma.21 The bright spot in the picture is the visible emission from a plasma. If a droplet target is too close to a plasma, it is blocked to reach the position of laser focus by the pressure of the plasma created in the previous shot.

Fig. 4

The pressure by a plasma generated on a jet of SnO2 suspension of 10 wt% concentration exploded un-irradiated jet stream.21 This shows the repetition rate of LPP is limited by the delivery of targets.

JM3_11_2_021109_f004.png

As shown in Fig. 5, the next target droplet must travel through a strong wind of a plasma generated by the previous target. For simplicity, we assume that the plasma has a uniform density profile with the initial density and radius of n0 and r0, respectively, and expands uniformly. Then the momentum given to the next droplet target is given by mVexpSLn0(r0/L)3, where m and Vexp are the mass and expansion velocity of ions in the plasma, S is the cross section of the travelling droplet, and L is the separation of droplets. When all material of the target droplet is converted to a plasma, the mass M of a droplet equals to m n0(4π/3)r03. Therefore, the condition for a next droplet of diameter d travelling in the plasma wind to reach the laser focus point without a significant delay, is given by

MVejmVexpSLn0(r0/L)3=(3/4π)MVexpS/L2,=(3/16)MVexp(d/L2),
where, Vej is the ejection velocity of droplets.

Fig. 5

The repetition rate of target delivery is limited by plasma pressure.

JM3_11_2_021109_f005.png

Hence, for the next target droplet to travel in the wind of the plasma generated by the previous droplet, the ratio of diameter to the separation of droplets should satisfy

Eq. (11)

(d/L)(16Vej/3Vexp)1/2.
When Vej=30m/sec, Eq. (11) gives (d/L)<0.029 because Vexp3.5E6cm/sec for a plasma as an EUVL source.

When the diameter of targets, d, is 30 μm, the separation of targets, L, needs to be L>1.03mm. Then the upper limit of the repetition rate, R=Vej/L,is calculated to be

Eq. (12)

Rlimit=30m/sec/1.03mm=30kHz.

When all the target material is not converted to a plasma and the large fraction of core of targets remains solid, the plasma pressure is low, and the maximum repetition rate can be higher than the value given by Eq. (11).

The repetition rate can be increased by decreasing the diameter of targets. However, an increase of the repetition rate decreases the EUV power as explained below.

The EUV energy in one shot, Erad, is proportional to a product of surface area, the opacity, and the emission duration of the source. When all the target material is not converted to a plasma, the opacity and the emission duration are proportional to the plasma diameter. The surface area is proportional to the square of the diameter. Then the EUV emission power increases with the fourth power of plasma diameter,

Eq. (13)

Eradd4.

For stable generation of droplets, d/L=28 and V is proportional to 1/d. Then,

Eq. (14)

R=V/L1/d2,
and the EUV power, P=EradR, is proportional to d2.

Eq. (15)

Pd21/R.

Thus, in order to increase the EUV power, the diameter of the plasma should be increased if the laser pulse energy is large enough, even the repetition rate decreases.

3.6.

Single Pulse Laser Energy

When the conversion efficiency is CE, and when the diameter of a plasma is D, the opacity is τ(τ<1), and the laser pulse duration is tlaser, the laser pulse energy, E, absorbed by a droplet is given by

Eq. (16)

E=πD2PBBτtlaser/CE,
where PBB is the blackbody brightness. When τ=0.3, CE=3%/2πsr=6%/4πsr, tlaser=15ns, and D=200μm, the absorbed laser energy, E, is E=π×(0.02cm)2×4.29E9W/cm2×0.3×15ns/6%=0.4J.

As discussed in Sec. 3.5, in order to increase the EUV power, we need to increase the plasma diameter. If we need a plasma of diameter D=500μm, the laser pulse energy needs to be larger than 0.4J×(500/200)=2.5J, when other conditions are the same.

3.7.

Target Diameter and Plasma Diameter

If all material of a solid density target with a diameter, d, is converted to a plasma of a diameter, D, having a uniform density profile of ion density, ni, the target diameter, d, is given by,

Eq. (17)

d=(ni/3.5E22/cm3)1/3D.
When ni=4E17/cm3 and D=200μm, the diameter of the solid density target is only d=4.5μm.

3.8.

The Ideal Target; Particle-Cluster Target

From the above discussion, we know the diameter of the target for a plasma of 200-μm diameter is only 4.5 μm. Very old classical pre-pulse technology is very often applied to create a low-density plasma having a long scale length on a solid density target. However, even with pre-pulse irradiation, it is impossible to generate a plasma of 200-μm diameter by using a solid density target of 4.5-μm diameter. As explained later in Sec. 4, the target diameter is as large as 30 μm in Cymer’s LPP, which means only 0.34% of the target mass is used.

As a method of generating a uniform density plasma with a large diameter by using a solid density material, the author invented the particle-cluster target scheme,30,31 in which a cluster of fine particles is delivered to the laser focus point and constituent fine particles are dispersed by some shocks just before shooting the laser, as shown in Fig. 6. This is the ideal target scheme for an EUV source. The delivered mass is minimized, which minimizes contamination, and the plasma density profile is flat-top.

One method of delivering and creating particle-clusters is the use of a suspension including tin particles of tens-nm diameter. Droplets of the suspension can be generated at a multi-kHz repetition rate. By vaporizing a solvent of a droplet, fine particles included in the droplet form a particle-cluster with weak coupling. The author believes that the ultimate high CE is achieved and contamination of the plasma is ultimately minimized only with the particle-cluster scheme. However, it will take a few years to develop a few difficult technologies for implementing the scheme, and people may not be patient to wait for a few years.

Fig. 6

The ideal method of delivering target material to generate a uniform density plasma with a large diameter.30

JM3_11_2_021109_f006.png

The author wishes LPP suppliers to improve their simple scheme of shooting a single droplet for preventing contamination of surrounding optics by the plasma.

3.9.

Controllable Parameters

Although we see four parameters controlling the EUV power in Eq. (1), D is the only one free parameter. As explained above, the opacity τopa should be in the range between 0.1 and 1, and the upper limits of pulse duration tp and repetition rate Rrep are determined by the diameter D of a plasma. In order to increase D, the laser pulse energy Elaser should be large.

3.10.

Shielding of a Plasma by a Magnetic Field

Because a plasma is composed of charged particles, ions, and electrons, a magnetic field should have some effect to stop a plasma. We performed an experiment to evaluate the stopping power of a magnetic field and reported the result in 2003.32,33 In order to generate a uniform density plasma, a through-hole target23 was employed. Faraday cups with positive voltage bias to the cup were placed at 5 cm from the plasma on the backside of the target, and we observed a plasma flowing through the hole of the target. A permanent magnet of 2-cm width was placed between the plasma and Faraday cups. The observed Faraday cup signal is shown in Fig. 7. With a magnet of 1400 gauss, the ion signal dropped to near 1/10. When the magnetic field was increased to 5000 gauss, the integrated signal decreased by three orders of magnitude.

The observed decrease of the ion signal was not caused by Larmor motion of ions and electrons along a magnetic field. Density of a laser plasma is so high, separation of ions and electrons is of the order of nm. On the other hand, the Larmor radius is sub-mm and micrometer for ions and electrons, respectively. Therefore, it is clear that, in an LPP, even electrons cannot make Larmor motion, but electrons and ions behave as a fluid. An interpretation based on a static pressure also failed to explain the observed results. Magnetic pressure is given by B2/2, and it is 4000 Pa for B=0.1T. The pressure of a laser plasma is given by neTe and is as high as 1 GPa initially. However, after plasma expansion, density and temperature decrease, and the plasma pressure drops rapidly with expansion. When a plasma of the initial diameter of 0.1 mm expands to 20 mm, the pressure decreases to several thousands Pa. Therefore, we can expect that a plasma will be reflected at a few cm from the target by a magnetic field of about 1000 gauss. Plasma should be totally blocked when the strength of a magnetic field is above the threshold value. The observed behavior was totally different from this simple expectation. This disagreement is reasonable because a plasma is a compressible fluid. When a plasma is stopped by a magnetic field pressure, it is recompressed, and the pressure increases. To predict the interaction precisely, we have to take into account three-dimensional dynamical behavior of a flowing plasma.

Fig. 7

Blocking of high energy ions by a magnetic field. While ion signal decreased by several orders of magnitude, it was concluded that perfect shielding was difficult in a conventional configuration.32,33

JM3_11_2_021109_f007.png

Although the interaction of a plasma with a magnetic field is not simple, as discussed above, we showed a magnetic field has a power of stopping a plasma to some extent. If some clever configuration is invented, plasma shielding by several orders of magnitude or larger may be possible.

Because a magnetic field cannot stop neutrals, all material of targets needs to be ionized for a magnetic field scheme for stopping contamination to work.

4.

Present Status and Prospects

In the past, there were many EUV source suppliers, including many companies not mentioned in this paper. They contributed greatly to the progress of EUVL. Presently, there remain only one DPP supplier and two LPP suppliers. In order to discuss the prospects of LPP, we interpret plasmas reported by the LPP suppliers, Cymer and Gigaphoton.

4.1.

Sn LPP at Cymer and Gigaphoton

Cymer developed technologies of generating tin droplets with extremely high stability and accuracy.34 Their success of making a tin LPP as a real product exceeded the author’s expectation several years ago, and their efforts so far should be evaluated.

They reported5 that they developed the high-volume manufacturing 1 (HVM1) system in which a CO2 laser system having the capability of 30 kW maximum power irradiates tin droplets to generate 13.5 nm EUV light. They reported that with the HVM1, the IF equivalent EUV power of 11 W was observed when the laser was operated at 50% duty cycle.5

The diameter of tin droplets generated at 40 kHz was 30 μm,5 and the ejection speed of droplets was 30m/s.35 The pulse duration of the CO2 laser is about 15 ns,36 and the focal spot size of the laser is about 150 μm.35

The IF equivalent power of 11 W was calculated5 by assuming 5 sr for the collection solid angle, 50% for the reflectivity of a collector mirror, 90% for the transmission of buffer H2 gas for suppressing contamination of the collector mirror by the plasma, and the transmission of 65% for a spectral purifying filter. Then the power at the source is calculated to be

11W×(2πsr/5sr)/0.5/0.9/0.65=47.3W/2πsr.

The power of CO2 laser in the experiment was not explicitly described in the report. If we assume it was 10 kW, CE into 2πsr is calculated to be only 0.47%.

Cymer also reported that, by using the LT1 system, they observed the IF equivalent power of 160 W with the CO2 laser power of 17.5 kW with a pre-pulse with the estimated CE of 3%.5 The duty cycle of the laser irradiation in this case was as low as 3%.

The big difference of 11 W and 160 W in HVM1 and LT1 experiments might be attributed to the difference of duty cycle. They mentioned distortion of CO2 laser optics for a high duty cycle operation. Therefore, the apparent very low CE when 11 W at IF was observed may have been caused by 50% duty cycle operation of the laser. Real laser power irradiated tin droplets may have been very low due to distortion of optics.

With hydrogen buffer gas, they reported no degradation of reflectivity of the collector mirror was noticed while delivering a dose of larger than 4 mega joule to the IF, which corresponds to 512 wafers for 10mJ/cm2 resist sensitivity.5 By considering the difficulty of suppressing Sn contamination, this is a great achievement, but this lifetime is still very short, because it is only five hours for throughput of 100 wph. One year has 8,766 hours.

The other LPP supplier, Gigaphoton, also uses a CO2 laser for shooting tin droplets. They claim that the clean EUV power of 20 W was calculated to be achieved at IF with their ETS device when tin droplets of 30 μm diameter were shot by a 100 kHz CO2 laser of 3.6 kW power at duty cycle of 5%. They reported that the system was operated continuously about seven hours. The calculated CE was 2.1%.

They claim use of a magnetic field is their originality. They reported fast ions across magnetic field was reduced greatly.

4.2.

Interpretation of the Performances of Present LPPs based on the Author’s Theory

Here the author interprets the present status of sources based on the author’s theory.

4.2.1.

Repetition rate

As discussed in Sec. 3.5, the upper limit of repetition rate is given by Eq. (11), and, as calculated in Eq. (12), it is 30 kHz when the ejection speed of droplets with diameter of 30 μm is 30m/sec. The repetition rate of 40 kHz in the Cymer tin LPP system is higher than the upper limit, reflecting that the core of droplets remained solid density.

A repetition rate of 100 kHz of Gigaphoton’s system may be too high. Such a high repetition rate can be possible when only a small fraction of droplets are converted to a high temperature plasma.

4.2.2.

Opacity

Cymer reported that CE was 3% when the IF equivalent power of 160 W was observed with a CO2 laser power of 17.5 kW.5 Then the EUV power at the source is calculated to be 17.5kW×3%=525W/2πsr. Because the repetition rate was 40 kHz, the EUV energy in one pulse is 26mJ/4πsr. If the diameter of the source is assumed 200 μm, and the emission duration is assumed 15 ns, the brilliance is calculated to be 26mJ/π(0.02cm)2/15ns=1.4E9W/cm2. This value is 33% of the brilliance of the blackbody radiation at 50 eV temperature, and then opacity, τ, is calculated to be τ=0.4. This opacity is ideal for achieving both a high CE and high EUV power.

4.2.3.

Pulse width

Plasma expansion velocity, Vexp, will be about Vexp=3.5E6cm/sec. Therefore, if their plasma with a diameter of 200 μm has a flat top density profile, the duration of EUV emission is only 0.02/3.5E6cm/sec=6ns, which appears to mean only 40% of the CO2 laser of 15 ns pulse duration contributes to EUV generation. The real situation will be the following. As discussed in Sec. 3.8, only 0.3% of Sn droplets of 30 μm diameter were considered to have been converted to a plasma, and the core of tin droplets remained solid, so the material was kept ablated during the laser pulse duration of 15 ns. Excess mass of ablated material reduces CE, as discussed in Sec. 3.4, but the reduction of CE was small, because the radiation efficiency was high.

4.3.

Prospects

4.3.1.

EUV power

The ideal EUV source is realized probably only by the distributed fine particle target scheme proposed by the author.30 However, Cymer's practical approach has worked so far. The report of IF equivalent EUV power of 160 W by a simple droplet scheme is a big surprise to the author. This power was achieved for the duty cycle of only 3%. The author wishes the EUV power can be maintained when the duty cycle is increased to 100%. Then real high-volume manufacturing EUVL with throughput of several tens wafers per hour by using the ASML’s NXE3100 stepper can start.

However, the prospect of increasing the EUV power to meet the requirement of 250 W for ASML’s NXE 3300B and 350 W for the NXE 3300C5 is open to question.

Cymer said they plan to increase the laser power to 50 kW and the CE to 4% to achieve EUV power of 350 W. As we discussed above, 40 kHz is the upper limit of the repetition rate, and the increase of EUV power is achieved only by the increase of the plasma diameter. Hence, the increase of CO2 laser power to 50 kW should be performed by the increase of the pulse energy, not by the increase of the repetition rate.

The more difficult task is to increase the diameter of a plasma. With any possible sophisticated pre-pulse technology, increasing plasma diameter without increasing the diameter of droplets will be very difficult. If the diameter of droplets is increased, contamination of optics by the plasma becomes serious.

The key point in whether Cymer can increase EUV power depends on how they can increase the diameter of a plasma without increasing the diameter of tin droplets.

In the Gigaphoton’s clean power roadmap, GL 400E can deliver the clean EUV power of 500 W at IF with a CO2 laser power of 40 kW. In GL 400E, they assume CE of 6%, while their claimed present CE value is 2.5%. The author thinks 6% is too high to expect. The CE of 4% assumed in Cymer’s system is high but still reasonable, as discussed at the end of Sec. 3.3.

The repetition rate of Gigaphoton’s system is 100 kHz, higher than the Cymer’s system of 50 kHz. From the theoretical consideration in Sec. 3.5, the author is skeptical that a high CE can be achieved with a 100 kHz system.

In their roadmap, the present system ETS is supposed to deliver EUV power of 100 W. However, in the report in February 2011, they said clean EUV power of 20 W was calculated to be available at IF. The five times difference is very big. The main cause might be the difference of droplet size. As discussed in the next section, they have to reduce droplet size. Before challenging next generation_systems, they will challenge to achieve EUV power of 100 W with the present system with droplets of smaller diameter. When the claimed EUV power of 20 W was observed, the duty cycle was only 5%, and nonstop operation time was only seven hours. As was experienced by Cymer as described in Sec. 4.1, an increase in duty cycle may reduce CE significantly. An increase of continuous running time requires mitigation of contamination, which may cause severe reduction of EUV power to IF. They will also challenge to maintain the effective CE of 2.1% when increasing the duty cycle and continuous operation time with the present system ETS.

4.3.2.

Suppression of contamination by plasma

As described in the review of the history in Sec. 2, it was after the demonstration of long operation of a Xe jet LPP that EUVL was considered promising. The Xe jet looked to solve the debris problem. However, the required EUV power jumped from several watts to 50 W in the year 2000, and it was increased later to 100 W and higher. The rapid increase of the required EUV power forced out Xe as a candidate for a fuel, because the CE of a Xe plasma cannot be larger than 1% because of its peak wavelength at 11 nm. With a low CE, severe heat load in vacuum exceeds the limit of any materials. Changing the fuel from Xe to Sn was inevitable to reduce the heat load. However, tin atoms stick to everything, and the contamination by a tin plasma is quite severe. Cymer claims they succeeded in solving the contamination issue by H2 background gas. However, the stopping power of an ambient gas is limited. It is open to question how long a lifetime they can achieve with the simple scheme of filling a background gas. In principle, a magnetic field can block plasma perfectly. However, in Cymer’s scheme, most of the target material remained neutral, and a magnetic field has no power to shield neutral particles. So, they cannot rely on a magnetic field.

A magnetic field has no power of stopping neutrals, so, in order to mitigate contamination, we cannot introduce any gas, which recombines ions to neutrals. We have to eliminate neutrals for a magnetic field scheme to work. Gigaphoton is developing technologies of reducing droplet size to as small as 10 μm in diameter. They expect that they can crack a single droplet to fragments by shooting a pre-pulse. However, as discussed in Sec. 3.8, it may be impossible to crack a droplet to fragments with any pre-pulse scheme.

5.

Summary

Intermediate-focus equivalent EUV power of several watts is now available for a EUVL scanner, and EUV lithography scanners are being considered as a high-volume manufacturing tool. However, for high-volume manufacturing with throughput of over 100 wafers per hour, EUV power of 350 W may be required. EUVL became a promising candidate of next generation lithography after the demonstration of long life operation of a collecting mirror facing a plasma by the invention of Xe jet target in the mid-1990s. When the required power jumped from several watts to 50 W and higher, a Xe plasma whose emission peak is located at 11 nm had to be switched to a Sn plasma having a peak at 13.5 nm. As was advocated by the author,1922 a Sn LPP is the unique solution as an EUV source for a high-volume manufacturing EUVL, but the potential high conversion efficiency of a Sn plasma is not easy to achieve. The most important thing is to produce a plasma having opacity in the range of 0.2 to 1.0. We showed in a simple calculation that the repetition rate cannot be higher than 40 kHz because of plasma pressure, and that EUV power can be increased only by increasing the diameter of a plasma. In the present LPP reported by Cymer, only 0.33% of target material is considered to be converted to a plasma. The biggest challenge is how to produce a plasma of 500 μm diameter without increasing Sn droplet size. The solution is a particle-cluster scheme30 invented by the author, but some technologies are not yet established. To the author’s view, the success of EUVL depends on whether new target delivery technology is developed, by which a uniform density profile plasma having a 500 μm diameter is produced.

References

1. 

TomieT., “Method and apparatus for inspecting multilayer masks for defects,’’ U.S. Patent No. 6,954,266 B2 (2005).

2. 

T. Tomieet al., “Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma source,” Proc. SPIE, 5038 41 (2003). http://dx.doi.org/10.1117/12.482811 PSISDG 0277-786X Google Scholar

3. 

Y. Tezukaet al., “Sensitivity-limiting factors of at-wavelength EUVL mask blank inspection,” Jpn. J. Appl. Phys, 45 5359 (2006). http://dx.doi.org/10.1143/JJAP.45.5359 JJAPB6 0021-4922 Google Scholar

4. 

M. Corthoutet al., “First tin beta socomo ready for wafer exposure,” in presented at 2010 Int. Sympo. EUVL, (2010). Google Scholar

5. 

D. C. Brandtet al., “LPP source system development for HVM,” Proc. SPIE, 7969 79691H (2011). http://dx.doi.org/10.1117/12.882208 PSISDG 0277-786X Google Scholar

6. 

C. Wagneret al., “EUV into production with ASML’s NXE-platform,” in 2010 Int. Sympo. EUVL, (2010). Google Scholar

7. 

P. J. Silverman, “Extreme ultraviolet lithography: overview and development status,” J. Microlith. Microfab. Microsyst., 4 011006 (2005). http://dx.doi.org/10.1117/1.1862647 JMMMGF 1537-1646 Google Scholar

8. 

B. J. Lin, “Sober view on extreme ultraviolet lithography,” J. Microlith. Microfab. Microsyst., 5 033005 (2006). http://dx.doi.org/10.1117/1.2358112 JMMMGF 1537-1646 Google Scholar

9. 

H. J. Levinson, “Extreme ultraviolet lithography’s path to manufacturing,” J. Microlith. Microfab. Microsyst., 8 041501 (2009). http://dx.doi.org/10.1117/1.3273965 JMMMGF 1537-1646 Google Scholar

10. 

H. Kinoshitaet al., “Consideration on soft x-ray reduction lithography,” JSPS 47th Autumn Meeting, 322 (1986). Google Scholar

11. 

H. Kinoshitaet al., “Soft x-ray reduction lithography using multilayer mirros,” J. Vac. Sci. Technol., B7 1648 –1651 (1989). 0022-5355 Google Scholar

12. 

J. E. Bjorkholmet al., “Reduction imaging at 14 nm using multilayer coated optics: printing of features smaller than 0.1 µm,” J. Vac. Sci. Technol., B8 1509 –1513 (1990). 0022-5355 Google Scholar

13. 

H. Oizumiet al., “Sub-0.10 mm resist patterning in soft x-ray (13 nm) projection lithpgraphy,” Jpn. J. Appl. Phys., 32 5914 –5917 (1993). http://dx.doi.org/10.1143/JJAP.32.5914 JJAPB6 0021-4922 Google Scholar

14. 

G. D. Kubiaket al., “Debris-free EUVL sources based on gas jets,” Extreme Ultraviolet Lithography, 66 –71 OSA, pp. 1996). Google Scholar

15. 

H. Fiedorowiczet al., “Characterization of a plasma produced using a high power laser with a gas puff target for x-ray laser experiment,” X-ray Lasers 1994, 538 –542 AIP,1994). Google Scholar

16. 

H. Shields, “TRW/CEO laser produced plasma (LPP) EUV source development and commercialization,” in NGL Workshop, (2001). Google Scholar

17. 

G. O’SullivanP. K. Carroll, “4d–4f emission resonances in laser-produced plasmas,” J. Opt. Soc. Am., 71 227 –230 (1981). http://dx.doi.org/10.1364/JOSA.71.000227 JOSAAH 0030-3941 Google Scholar

18. 

R. C. Spitzeret al., “Soft x-ray production from laser plasmas for lithography applications,” J. Vac. Sci. Technol., B11 (6), 2986 –2999 (1993). JVSTAL 0022-5355 Google Scholar

19. 

T. Tomieet al., “Study of a cavity confined plasma as a debris-less and high conversion efficiency euv source,” (2000). Google Scholar

20. 

T. Tomieet al., “Theoretical consideration on plasma source for 50 W EUV power extraction,” (2001). Google Scholar

21. 

T. Tomieet al., “EUV generation using a droplet of a suspension including tin as a target of a high-efficiency LPP source for high volume production,” Proc. SPIE, 6151 61511P (2006). http://dx.doi.org/10.1117/12.656455 PSISDG 0277-786X Google Scholar

22. 

TomieT., “Laser plasma light source and method of generating radiation using the same,” U.S. Patent No. 6,275,565 (2001).

23. 

Y. Uenoet al., “Debris-Free EUV Source using a through-hole tin target,” Proc. SPIE, 5037 750 (2003). http://dx.doi.org/10.1117/12.483746 Google Scholar

24. 

J. Pankertet al., “Physical properties of the HCT EUV Source,” Proc. SPIE, 5037 112 (2003). http://dx.doi.org/10.1117/12.483611 Google Scholar

25. 

A. Sasakiet al., “Radiative properties of Xe and Sn plasmas,” in EUVL Symposium 2005, (2005). Google Scholar

26. 

T. AotaT. Tomie, “Ultimate efficiency of extreme ultraviolet radiation from a laser-produced plasma,” Phys. Rev. Lett., 94 015004 (2005). http://dx.doi.org/10.1103/PhysRevLett.94.015004 PRLTAO 0031-9007 Google Scholar

27. 

T. P. Hughes, Plasmas and Laser Light, 4417 Adam Hilger Ltd, London (1975). Google Scholar

28. 

R. C. Elton, Plasma Physics, Methods of Experimental Physics, 9 136 Academic Press, New York (1970). Google Scholar

29. 

B. A. M. Hanssonet al., “Status of the liquid-xenon-jet laser-plasma source for EUV lithography,” Proc. SPIE, 4688 102 –109 (2002). http://dx.doi.org/10.1117/12.472274 PSISDG 0277-786X Google Scholar

30. 

TomieT., “Method and apparatus for generating laser produced plasma,” U.S. Patent No. 7,576,343 (2009).

31. 

T. Tomieet al., “Particle-cluster tin target for a high conversion efficiency LPP source for EUVL,” Proc. SPIE, 5347 383 (2004). http://dx.doi.org/10.1117/12.535395 PSISDG 0277-786X Google Scholar

32. 

T. Tomieet al., “Use of tin as a plasma source material for high conversion efficiency,” Proc. SPIE, 5037 147 (2003). http://dx.doi.org/10.1117/12.483751 PSISDG 0277-786X Google Scholar

33. 

G. Niimiet al., “Experimental evaluation of stopping power of high-energy ions from a laser-produced plasma by a magnetic field,” Proc. SPIE, 5037 370 (2003). http://dx.doi.org/10.1117/12.483747 Google Scholar

34. 

I. V. Fomenkovet al., “Laser-produced plasma source system development,” Proc. SPIE, 6517 65173J (2007). http://dx.doi.org/10.1117/12.713454 PSISDG 0277-786X Google Scholar

35. 

N. R. Boewering, “Performance results of laser-produced plasma test and prototype light sources for EUV lithography,” J. Microlith. Microfab. Microsyst., 8 041504 (2009). http://dx.doi.org/10.1117/1.3224942 JMMMGF 1537-1646 Google Scholar

36. 

I. V. Fomenkovet al., “High power low cost drive laser for lpp source,” Proc. SPIE, 6151 61513X (2006). http://dx.doi.org/10.1117/12.655733 PSISDG 0277-786X Google Scholar

Biography

JM3_11_2_021109_d001.png

Toshihisa Tomie graduated with an MS from physics department, Faculty of Science of Kyoto University in 1976. He received his PhD in science from the Kyoto University in 1985. In 1976, he joined the Electrotechnical Laboratory, Agency of Industrial Science and Technology, Ministry of International Trade and Industry, and all AIST laboratories merged to the new AIST in 2001. He conducted research on high-power lasers, x-ray laser, x-ray microscopy, laser-produced plasma EUV sources for EUVL, and surface analysis of materials. He invented the x-ray refractive lens and EUV photoelectron spectroscopy (EUPS).

© 2012 Society of Photo-Optical Instrumentation Engineers (SPIE) 0091-3286/2012/$25.00 © 2012 SPIE
Toshihisa Tomie "Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects," Journal of Micro/Nanolithography, MEMS, and MOEMS 11(2), 021109 (21 May 2012). https://doi.org/10.1117/1.JMM.11.2.021109
Published: 21 May 2012
Lens.org Logo
CITATIONS
Cited by 30 scholarly publications and 4 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Plasma

Extreme ultraviolet

Tin

Extreme ultraviolet lithography

Xenon

Magnetism

Opacity

Back to Top