Open Access
9 August 2012 Special Section Guest Editorial: Alternative Lithographic Technologies
Author Affiliations +
Abstract
Abstract unavailable.

Welcome to the inaugural special section on alternative lithographic technologies, in coordination with the Alternative Lithographic Technologies conference, part of SPIE’s annual symposium on Advanced Lithography.

While traditional optical lithography has allowed semiconductor manufacturers to continue to march down the lithographic roadmap for critical dimensions, it comes with an expensive price tag and has begun to run out of steam. Cutting-edge 193-nm immersion lithography, with a half-pitch resolution limit of about 40 nm, is being extended at an immense cost to even smaller feature sizes by the adaptation of pitch splitting techniques such as spacer double patterning. The delay of extreme ultraviolet lithography has opened the doors for other nonphotolithographic techniques to be considered as a possible successor to optical lithography. Our conference features papers on these emerging techniques that are potentially cost-effective and scalable for high-volume manufacturing. Maskless lithography has attracted strong interest since the development of multibeam/multicolumn techniques, particularly from foundries that cannot always amortize the exploding mask cost. Nanoimprint lithography, a revolutionary technique that performs lithography by mechanical force rather than by illumination, has made remarkable progress in reducing its defects. Directed self-assembly (DSA), a frequency multiplication technique based on chemistry rather than photons, has seen explosive growth in the last three years and is now the largest component of the conference (see the accompanying special section on DSA in this same issue). Our conference provides a forum for showcasing these alternative techniques.

The papers in this special section represent the crème de la crème of the papers featured in our 2012 meeting. They not only highlight some of the best work from our colleagues, but also serve to expand the audience base and attract additional high-quality contributions to the conference. We look forward to your submissions and participation in our future meetings.

© 2012 Society of Photo-Optical Instrumentation Engineers (SPIE) 0091-3286/2012/$25.00 © 2012 SPIE
William M. Tong, Douglas J. Resnick, and Benjamen M. Rathsack "Special Section Guest Editorial: Alternative Lithographic Technologies," Journal of Micro/Nanolithography, MEMS, and MOEMS 11(3), 031401 (9 August 2012). https://doi.org/10.1117/1.JMM.11.3.031401
Published: 9 August 2012
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Directed self assembly

Explosives

Nanoimprint lithography

Optical lithography

Double patterning technology

Immersion lithography

Back to Top