Open Access
4 October 2012 Parametric constraints in multi-beam interference
Guy Burrow, Thomas K. Gaylord
Author Affiliations +
Abstract
Multi-beam interference (MBI) represents a method of producing one-, two-, and three-dimensional submicron periodic optical-intensity distributions for applications including micro- and nano-electronics, photonic crystals, metamaterial, biomedical structures, optical trapping, and numerous other subwavelength structures. Accordingly, numerous optical configurations have been developed to implement MBI. However, these configurations typically provide limited ability to condition the key parameters of each interfering beam. Constraints on individual beam amplitudes and polarizations are systematically considered to understand their effects on lithographically useful MBI periodic patterning possibilities. A method for analyzing parametric constraints is presented and used to compare the optimized optical-intensity distributions for representative constrained systems. Case studies are presented for both square and hexagonal-lattices produced via three-beam interference. Results demonstrate that constraints on individual-beam polarizations significantly impact patterning possibilities and must be included in the systematic design of an MBI system.

1.

Background

Multi-beam interference (MBI), sometimes referred to in the literature as “holographic” or “interferometric” lithography, provides the ability to form a wide variety of submicron periodic optical-intensity distributions in one, two, and three dimensions. Accordingly, MBI has been used in a wide variety of application areas including nano-electronics, photonic crystals, metamaterials, subwavelength structures, optical trapping, and biomedical structures.16 As a result of the broad application of MBI, research has demonstrated numerous periodic and quasiperiodic patterns with specific space-group symmetries by careful selection of individual beam amplitudes, polarizations, and wavevector configurations.712 These same parameters are also used to optimize the contrast of the resulting interference lattice, providing lithographically useful patterning possibilities.1315

Numerous optical configurations and lithographic techniques have been developed to incorporate MBI, providing the potential for simple, rapid, wafer-scale, and low-cost fabrication.5,1621 These configurations may be broadly categorized as wavefront-dividing or amplitude-splitting methodologies.22 Perhaps the most common MBI configuration employs a Lloyd’s mirror in a wavefront-dividing scheme to reflect a portion of an expanded source beam to intersect with the transmitted portion forming an interference pattern of one-dimensional (1-D) fringes.23 With multiple exposures, the Lloyd’s mirror configuration can produce two-dimensional (2-D) and three-dimensional (3-D) patterns.24

To fabricate 2-D periodic patterns in a single exposure, multiple beams must be simultaneously generated. Two common three-beam wavevector configurations, as depicted in Fig. 1(a) and 1(c), are used to form square and hexagonal periodic lattices, respectively. For a lattice with square translation symmetry as depicted in Fig. 1(a) and 1(b), the three beams, represented by wavevectors k1, k2, and k3, intersect at the x-y plane at a common incidence angle, θ, with respect to the z axis, where k3 is contained in the y-z plane while k1 and k2 are contained in the x-z plane. The periodicity or lattice constant, asq, of the resulting interference pattern is given by

Eq. (1)

asq=λ/(2sinθ),
where λ is the freespace wavelength of the source. For a lattice with square translation symmetry as depicted in Fig. 1(c) and 1(d), the wavevectors are again arranged at a common incidence angle with k1 contained in the y-z plan, and now k2 and k3 are arranged such that the projections of all three vectors are separated by exactly 120 degrees in the x-y plane. The periodicity or lattice constant ahex of the resulting interference pattern is given by

Eq. (2)

ahex=2λ/(3sinθ).

Fig. 1

Example of three-beam interference wavevector configurations. A square-lattice interference pattern with (a) p4m or (b) cmm plane-group symmetry is produced by three beams, where k3 is contained in the y-z plane while k1 and k2 are contained in the x-z plane, each at a common incidence angle, θ. A hexagonal-lattice interference pattern with (c) p6m or (d) cmm plane-group symmetry is produced by three beams, where k1 is contained in the y-z plan and k2 and k3 are arranged such that the projections of all three vectors are separated by exactly 120 deg in the x-y plane.

JM3_11_4_043004_f001.png

Several optical configurations are available to create the wavevector schemes in Fig. 1. For example, to generate a lattice with hexagonal translational symmetry as depicted in Fig. 1(c) and 1(d), one wavefront-dividing method employs three gratings in a single photo-mask to diffract an incident expanded beam, such that the first order diffracted beams intersect and interfere at the sample plane as depicted in Fig. 2(a).2527 Similarly, a single compound diffractive optical element or phase mask may be placed in close proximity to the sample plane to produce a near-field self-interference pattern of the zero-, positive-, and negative-diffracted orders.28,29 Another wavefront-dividing configuration incorporates a prism designed to divide and refract the single expanded beam into multiple beams as depicted in Fig. 2(b).30,31

Fig. 2

Three-beam interference configurations. (a) A diffractive-grating mask diffracts portions of the incident-expanded beam such that the first-order diffracted beams intersect and interfere at the sample plane.26 (b) A prism is used to divide and refract different portions of an incident collimated beam.21 (c) A three-beam pattern-integrated interference exposure system provides individual control of beam amplitude and polarization allowing for a single-step formation of a two-dimensional periodic lattice with nonperiodic functional elements integrated into the periodic pattern.20

JM3_11_4_043004_f002.png

Amplitude-splitting configurations may also be used to generate the three interfering beams required for a hexagonal lattice, typically splitting a single common source beam into multiple beams through the use of beam splitters or diffractive elements.16,19,20 The beams are then directed to intersect at the plane of interference through the use of mirrors, lens, and/or prisms, such as the pattern-integrated interference exposure system (PIIES) depicted in Fig. 2(c).32,33 In this system, the three beams are generated and conditioned for specific amplitudes and linear polarizations via a combination of half-wave plates and beam-splitter cubes. In general, beam-splitting configurations typically offer increased opportunity to condition individual-beam parameters.

Each of the configurations used for MBI offer varying levels of interferometric stability, reconfigurability, and control over individual-beam amplitudes and polarizations. The important characteristics of the two main MBI configuration categories are listed in Table 1. As a result of the relatively short optical path lengths in wavefront-dividing configurations, these methods are essentially phase-locked, representing the most interferometrically stable option for MBIL. However, in most wavefront-dividing schemes, control over individual beam amplitudes and polarizations is typically limited and wavevector configurations are generally fixed.

Table 1

Comparison of MBIL configuration categories.

Configuration characteristics
Configuration categoryInterferometric stability?Reconfigurable?Individual beam conditioning?Full patterning capabilities?
Wavefront-dividingHighNoLimited?
Beam-splittingLowYesYesYes

A multiple-beam-splitting configuration, such as the PIIES configuration depicted in Fig. 1(d), provides the ability to easily reconfigure the wavevector configuration for a wide range of lattice constants and translational symmetries, while allowing for individual control over beam parameters. However, a common drawback of beam-splitting-based configurations is the potential for interferometric instability. Any perturbations to the optical components, optical path lengths, or relative phases of the interfering beams may result in a translation of the pattern or change in the symmetry of the unit cell. Thus, pattern stability is generally low for amplitude-splitting schemes.

From Table 1, it is clear that the two MBI configuration categories have advantages and disadvantages that must be considered. Even though most MBI configurations are not easily reconfigured, they may all be designed to provide one of a wide range of periodicities and translational symmetries based on a fixed wavevector configuration. However, these same systems are characteristically limited in ability to condition the individual beams. In this case, it is not clear that full patterning capability remains as constraints are placed on beam amplitudes and polarizations. In some cases, the specific plane-group symmetries play a significant role in the performance characteristics of a device fabricated using MBI. For example, the plane-group symmetry and lattice point geometry have been shown to affect the photonic-bandgap characteristics in photonic crystals,7,8,1012,34 selective plasmonic excitation in plasmonic crystals,35 photonic crystal laser beam pattern36 and polarization-mode control,37 birefringence of photonic crystal fibers,38 cell behavior in tissue engineering,39 tuning of surface textures,40 magnetization switching in periodic magnetic arrays,41 and negative refraction and superlensing in metamaterials.42,43

Even if a particular plane-group symmetry is possible, it is not clear that sufficient contrast is attainable for optical lithography purposes. In the optimized design of the specific space-group symmetries and motif geometries, most research assumes individual control over beam amplitudes and polarizations. Some research suggests that sufficient contrast may be possible when beam parameters are perturbed.44 In one study, the effects of a single linear polarization was analyzed for a system of cube beamsplitters and right-angle prisms used to generate three interfering beams from a common linearly polarized source.45 However, this study was limited to a 2-D hexagonal lattice for a specific configuration. In another study, parametric constraints were considered for square-lattice optimized motif geometries.46 The present work expands on these efforts, providing a general methodology and systematic study of the effects of amplitude and polarization constraints on the full range of MBI patterning possibilities in linearly polarized three-beam interference for both square- and hexagonal-lattice symmetries, under the conditions for primitive-lattice-vector-direction equal contrast.15

2.

Parametric Constraints

For three interfering beams, the general form of the total time-independent intensity distribution, IT(r), may be given as

Eq. (3)

IT(r)=Io{1+V12cos[(k2k1)·r]+V13cos[(k3k1)·r]+V23cos[(k3k2)·r]},
where Io is a DC intensity term, ki is the wavevector representing the i’th beam, i=1, 2, 3, and an interference coefficient, Vij, is defined as

Eq. (4)

Vij=EiEjeij/Io,
where Ei is the amplitude and eij is the polarization efficiency factor defined as

Eq. (5)

eij=(e^i·e^j),
where e^i represents the linear polarization vector of the i’th beam.14 The absolute contrast, often called “fringe visibility” or “fringe contrast,” is then defined as

Eq. (6)

Vabs=(ImaxImin)/(Imax+Imin),
where Imax and Imin are the maximum and minimum values of the intensity distribution given by Eq. (3). To optimize this contrast, the conditions for primitive-lattice-vector-direction equal contrasts result in a constrained optimization problem to determine the polarization vector values for each recording wavevector.14 Once the optimized polarization vector orientations are determined, the required amplitudes may be determined. These constrained optimization requirements for beam amplitudes and polarizations are summarized in Table 2 for the p4m, cmm, and p6m plane-group symmetries possible with square- and hexagonal-lattice translational symmetries. It is noted that cmm plane-group symmetry is possible for both square and hexagonal lattices. For this reason the two are differentiated here by cmm(sq) and cmm(hex), respectively. Of course, lower-order symmetries are also satisfied under the conditions listed in Table 2. For example, pmm symmetry is satisfied with the p4m plane-group, while p2 symmetry is satisfied for all cases. For the purposes of the current work, only the higher order symmetries are analyzed.

Table 2

Constraints for optimal absolute contrast under the conditions for primitive-lattice-vector-direction equal contrasts.

Translational symmetryPlane-group symmetryOptimization constraints
Squarep4mmaximize 2e13e23e132+e232, e12=0, E1=e23e13E2 (V13=V23, V12=0)
Hexagonalcmm(hex)
Squarecmm(sq)maximize 2e12e13e23e122+e132+e232, E1=e23e12E3, E2=e13e12E3 (V13=V23=V12)
Hexagonalp6m

Under the conditions for primitive-lattice-vector-direction equal contrasts, the individual linear polarization vectors, e^i are defined according to the polarization vector basis depicted in Fig. 3. Using this basis, each polarization vector is given by Ref. 47

Eq. (7)

e^i=Rz(φi)Ry(θi)Rz(ψi)Ry(θi)Rz(φi)(z^×ki),
where θi and φi are the spherical coordinates of the wavevector ki, ψi is the counterclockwise angular rotation of the polarization vector (looking antiparallel to the wavevector), and Rz(β) and Ry(β) are rotation matrices about the z and y axis, respectively.

Fig. 3

Orientation of basis vectors to define linear polarizations. θi and φi are the spherical coordinates of the wavevector ki. The polarization vector e^i is contained in the plane Ni orthogonal to the wavevector, and defined by the counterclockwise angular rotation, ψi of the polarization vector (looking antiparallel to the wavevector).

JM3_11_4_043004_f003.png

To find the optimal set of polarization vectors for a given plane-group symmetry, objective functions were developed for each of the optimization constraints listed in Table 2 to solve for the conditional maximum. In this method, Lagrangian multipliers, Ω, are used to implement constraints to the objective function13 (λ is normally used as the symbol for a Lagrangian multiplier; however, Ω is used here to differentiate the multiplier from the freespace wavelength, λ). The resulting objective functions for maximum absolute contrast under the conditions for primitive-lattice-vector-direction equal contrasts are given in Table 3.

Table 3

Optimization functions for optimal absolute contrast and primitive-lattice-vector-direction equal contrasts assuming individual control over beam amplitudes and polarizations.

Plane-group symmetryObjective function
p4m, cmm(hex)f(ψ1,,ψ3,Ω1)=2e13e23/e132+e232+Ω1e12
p6m, cmm(sq)f(ψ1,,ψ3)=2e12e13e23/(e122+e132+e232)

2.1.

Amplitude Constraints

In the case of the three-beam interference configuration in Fig. 1(c), a half-wave plate rotates the plane of the input linearly polarized light, thereby allowing control over the amplitude Ei of output beam from each polarizing cube beamsplitter.20 In other configurations, individual-beam amplitudes may be controlled using attenuating-transmission materials in the path of each interfering beams.

In many configurations it is difficult to set specific amplitudes for each individual beam. For example, most wavefront-splitting schemes result in multiple beams with common or a fixed ratio of amplitude values. Accordingly, the first constraint considered here is the case of equal individual beam amplitudes E1=E2=E3. Based on the amplitude constraints given by Table 2, an additional constraint of e13=e23 results for the p4m and cmm(hex) plane group symmetries. For p6m and cmm(sq) plane group symmetries, the additional constraint is e12=e13=e23. The resulting simplified objective functions for the case of equal individual beam amplitudes are given in Table 4.

Table 4

Optimization functions for optimal absolute contrast and primitive-lattice-vector-direction equal contrasts with equal individual beam amplitudes.

Plane-group symmetryObjective function
p4m, cmm(hex)f(ψ1,,ψ3,Ω1,Ω2)=23e13+Ω1(e13e23)+Ω2e12 (e13=e23, e12=0, E1=E2=E3)
p6m, cmm(sq)f(ψ1,,ψ3,Ω1,Ω2)=23e13+Ω1(e12e13)+Ω2(e13e23) (e13=e23=e12, E1=E2=E3)

2.2.

Polarization Constraints

In addition to the ability to set the individual beam amplitudes, the configuration of Fig. 1(c) allows for control over individual-beam linear polarizations as required for maximum absolute contrast and primitive-lattice-vector-direction equal contrasts. This is accomplished with the final half-wave plate in the path of each beam. However, in most MBI configurations it is usually difficult to set the polarization for each beam individually. Typically, a single linearly polarized beam is divided into the multiple beams as depicted in Fig. 2(a) and 2(b), with each beam retaining the original linear polarization angle of the source beam ψB The individual-beam polarization is then defined by Eq. (7) where ψ1=ψ2=ψ3=ψB representing the first polarization constraint considered here for equal individual beam-set polarizations.

Alternatively, it may be possible to improve patterning possibilities or absolute contrast through the use of a single polarizer just prior to the sample plane to set a common polarization for each beam as depicted in Fig. 4(a). For this case, the individual beam-polarization vectors are determined by finding the vector ei perpendicular to the wavevector ki that is contained in the polarization plane R defined by the origin (0,0,0), the vector defining the pass axis of the polarizer P and the wavevector ki, as depicted in Fig. 4(b). Here, the polarization pass axis vector is defined as

Eq. (8)

P=sin(αP)x^+cos(αP)y^,
where αP is the angle of the pass axis of the polarizer with respect to the y axis. The polarization vector ei is then the intersection of the polarization plane Ri and the plane orthogonal to the wavevector Ni. This intersection is found by taking the cross product of the normals nR and nN for each of the planes. With this polarization vector basis, the individual-beam polarization vectors for each wavevector are given by

Eq. (9)

ei=ki×([sin(αi)x^+cos(αi)y^]×ki),
where α1=α2=α3=αP for the second polarization constraint considered in this study, equal sample-plane-set polarization. For the two polarization constraints, the new polarization vector definitions and required constraints are presented in Table 5.

Fig. 4

Orientation of basis vectors for sample-plane-set polarization. (a) A single linear polarizer, with a pass axis angle αP, may be placed just prior to the sample plane to improve contrast. (b) The plane R contains the wavevector k and the polarization pass axis vector P. The polarization vector e^ is then the intersection of the polarization plane R and the plane orthogonal to the wavevector N.

JM3_11_4_043004_f004.png

Table 5

Polarization vector definitions and constraints for optimal absolute contrast and primitive-lattice-vector-direction equal contrasts for unconstrained, equal individual beam-set polarization, and equal sample-plane-set polarization.

Polarization casePolarization vector definitionConstraints
Optimal individual beam-set polarizatione^i=Rz(φi)Ry(θi)Rz(ψi)Ry(θi)Rz(φi)(z^×ki)None
Equal individual beam-set polarizationsψ1=ψ2=ψ3=ψB
Equalsample-plane-setpolarizationse^i=ki×([sin(αi)x^+cos(αi)y^]×ki)α1=α2=α3=αP

3.

Constrained Optimization Results

The constrained optimization functions in Table 3 and 4 were solved using the polarization definitions and constraints given in Table 5 for both square- and hexagonal-lattice space-group symmetries across the full range of common incidence angles. The optimized constrained parameter values were then used to evaluate the resulting optical-intensity distribution and maximum absolute contrast given by Eqs. (3) and (6) as a function of the common sample-plane incidence angle. For the unconstrained case of optimal individual beam amplitudes and optimal individual beam-set polarization, unity absolute contrast Vabs=1 is achieved across the full range of possible lattice constants for all plane-group symmetries as predicted by the conditions for primitive-lattice-vector-direction equal contrast.14 For the cmm(sq) and p6m symmetries, unity contrast is possible when the intensity profile is inverted, that is, when the points of intensity maxima become intensity minima. This result is obtained when the product of the three interference coefficients given by Eq. (4) are allowed to be negative in value. If the cmm(sq) and p6m symmetries are optimized for intensity maxima at the lattice points, the optimal absolute contrast varies from 0.6 to unity. Typically, optical lithography requires an absolute contrast 0.4 to 0.8 or higher to resolve the required features based on the photoresist properties, feature sizes, and coherence of the source.48

3.1.

Amplitude Constraints

When the equal individual beam amplitudes constraint is considered, all plane-group symmetries are again possible across the full range of common incidence angles. However, the maximum absolute contrast is now limited to Vabs=0.94 for p4m and cmm(hex) symmetries, while the cmm(sq) is limited to a value ranging from 0.88 to unity. The p6m absolute contrast remains unchanged. This stems from the fact that the unconstrained p6m optimization requires amplitude values14 of ±E1=E2=E3.

3.2.

Polarization Constraints

A significant reduction in patterning possibilities and absolute contrasts occurs when polarization constraints are considered. These limitations are made worse when combined with the amplitude constraint. To demonstrate the effect of polarization constraints, Fig. 5(a) to 5(f) plot the maximum absolute contrast, Vabs, for the unconstrained case against the various constrained case combinations for p4m, cmm(hex), p6m, and cmm(sq) plane-group symmetries, respectively. In each graph, the absolute contrast is plotted as a function of the common wavevector incidence angle at the sample plane. The unconstrained and the amplitude-constrained case (labeled “Equal Amplitudes” for equal individual beam amplitudes) are plotted as a baseline for comparison.

Fig. 5

Optimized absolute contrasts for square and hexagonal lattices. The unconstrained maximum absolute contrast is compared to the those for the amplitude and polarization constraints as a function of the common wavevector incidence angle at the sample plane for (a) p4m, (b) cmm(hex), (c) p6m, (d) p6m (negative pattern), (e) cmm(sq), and (f) cmm(sq) (negative pattern) plane-group symmetries.

JM3_11_4_043004_f005.png

Beginning with the p4m plane-group in Fig. 5(a), both polarization constraints (labeled “Equal Polarizations” for equal individual beam-set polarizations and “Sample-Plane-Set Polarizations” for the equal sample-plane-set polarizations) limit the range of incidence angles to 45 deg and higher in order to produce this symmetry. Furthermore, the absolute contrasts drop off sharply from a unity value at 45 deg. In the case of equal individual beam-set polarizations, the contrast drops to zero at a common incidence angle near θ66deg. If a conservative absolute contrast of Vabs=0.4 is used as a minimum threshold for optical lithography purposes, the range of angles for p4m symmetry is reduced to 45θ<57deg or 76<θ<90deg, and 45θ<68deg for the two polarization constraints, respectively. If a common ultraviolet (UV) i-line source is used at λ=363.8nm, the resulting range of periodicities, using Eq. (1), is then 363.8asq>306.7nm or 265.1>asq>257.2nm, and 363.8asq>277.4nm. Finally, if the equal individual beam amplitudes are combined with either of the polarization constraints, the ability to achieve p4m symmetry is limited to a single incidence angle of θ=45deg (asq=λ). Similar results are obtained for the cmm(hex) symmetry as depicted in Fig. 5(b). However, when the equal individual beam amplitudes is combined with either of the polarization constraints, the cmm(hex) symmetry (with V13=V23 and V12=0) is not possible.

For the p6m plot in Fig. 5(c) the maximum absolute contrast begins near unity at a 0 deg incidence angle for both the equal individual beam-set polarizations and equal sample-plane-set polarizations cases and gradually reduces to lower contrast values, falling below Vabs=0.4 near θ48deg and 54 deg for the two cases, respectively. When negative (or inverted) intensity distributions (labeled “negative pattern”) are considered, higher absolute contrasts may be achieved for the larger incidence angles as depicted in Fig. 5(d). When combined with equal individual beam amplitudes, the p6m symmetry is no longer possible (with V13=V23=V12). Similar results are obtained for the cmm(sq) symmetry as depicted in Fig. 5(e) and 5(f). However, when the equal individual beam amplitudes are combined with equal individual beam-set polarizations, the cmm(sq) symmetry remains possible at a single incidence angle of 60 deg with unity absolute contrast.

4.

Summary and Discussion

A systematic and comprehensive analysis of the effects of constraints on individual-beam amplitudes and polarizations has been presented for the unique 2-D plane-group symmetries possible using three linearly polarized beams configured to produce an interference pattern with square- or hexagonal-lattice translational symmetry. These patterns were optimized for maximum uniform contrast while satisfying the conditions for primitive-lattice-vector-direction equal contrasts.

When only amplitude constraints are considered, the results demonstrate that all plane-group symmetries remain possible over the full range of lattice constants. While the absolute contrast is reduced to a minimum value of Vabs=0.88, this value is still sufficient for optical lithography purposes.

When polarization constraints are introduced, significant reductions were noted for all plane-group symmetries. Based on the specific application requirements, it may be necessary to use an MBIL configuration, such as the multiple-beam-splitting configuration in Fig. 2(c), that allows individual conditioning or beam polarizations to ensure a robust patterning capability.

Although numerous additional parametric constraint combinations are possible and may merit consideration, the cases presented here provide useful insight into the general design requirements for an MBI system. However, the optimization methodology presented here may be modified as necessary to model and predict the patterning possibilities of other constrained configurations to ensure that a given MBI configuration meets the requirements for a specific patterning application.

As efforts to incorporate MBI into commercial fabrication processes continue, a complete understanding of the patterning possibilities is required in the systematic design of future MBI configurations. While the PIIES configuration in Fig. 2(c) allows for the widest range of high-contrast periodic pattern symmetries, future research will focus on increasing interferometric stability, to provide the potential for relatively simple, subwavelength, and cost-effective periodic patterning with integrated nonperiodic functional elements.

Acknowledgments

This work was supported in part by Grant No. ECCS 0925119 from the National Science Foundation.

References

1. 

C. J. M. van Rijn, “Laser interference as a lithographic nanopatterning tool,” J. Microlithogr. Microfabr. Microsyst., 5 (1), 110121 –110126 (2006). http://dx.doi.org/10.1117/1.2173269 JMMMGF 1537-1646 Google Scholar

2. 

R. T. Greenwayet al., “Interference assisted lithography for patterning of 1D gridded design,” Proc. SPIE, 7271 U1 –U11 (2009). http://dx.doi.org/10.1117/12.812033 PSISDG 0277-786X Google Scholar

3. 

J. H. Janget al., “3D micro- and nanostructures via interference lithography,” Adv. Funct. Mater., 17 (16), 3027 –3041 (2007). http://dx.doi.org/10.1002/(ISSN)1616-3028 AFMDC6 1616-3028 Google Scholar

4. 

T. Jhaveriet al., “Economic assessment of lithography strategies for the 22 nm technology node,” Proc. SPIE, 7488 2Y1 –2Y10 (2009). http://dx.doi.org/10.1117/12.837240 PSISDG 0277-786X Google Scholar

5. 

M. Rothschild, “Nanopatterning with UV optical lithography,” Mater. Res. Soc. Bull., 30 942 –946 (2005). Google Scholar

6. 

G. M. BurrowT. K. Gaylord, “Multi-beam interference advances and applications: nano-electronics, photonic crystals, metamaterials, subwavelength structures, optical trapping, and biomedical structures,” Micromachines, 2 (2), 221 –257 (2011). http://dx.doi.org/10.3390/mi2020221 Google Scholar

7. 

X. AoS. He, “Two-stage design method for realization of photonic bandgap structures with desired symmetries by interference lithography,” Opt. Express, 12 (6), 978 –983 (2004). http://dx.doi.org/10.1364/OPEX.12.000978 OPEXFF 1094-4087 Google Scholar

8. 

L. Z. Caiet al., “Holographic design of hexagonal photonic crystals of irregular columns with large full band gap,” Opt. Commun., 267 (2), 305 –309 (2006). http://dx.doi.org/10.1016/j.optcom.2006.06.052 OPCOB8 0030-4018 Google Scholar

9. 

L. Z. CaiX. L. YangY. R. Wang, “All fourteen Bravais lattices can be formed by interference of four noncoplanar beams,” Opt. Lett., 27 (11), 900 –902 (2002). http://dx.doi.org/10.1364/OL.27.000900 OPLEDP 0146-9592 Google Scholar

10. 

C. W. Chienet al., “Analysis of a two-dimensional photonic bandgap structure fabricated by an interferometric lithographic system,” Appl. Opt., 46 (16), 3196 –3204 (2007). http://dx.doi.org/10.1364/AO.46.003196 APOPAI 0003-6935 Google Scholar

11. 

X. L. Yanget al., “Large complete bandgaps in a two-dimensional square photonic crystal with isolated single-atom dielectric rods in air,” Nanotechnol., 19 (2), 025201 –025206 (2008). http://dx.doi.org/10.1088/0957-4484/19/02/025201 NNOTER 0957-4484 Google Scholar

12. 

X. L. Yanget al., “Optimization of band gap of photonic crystals fabricated by holographic lithography,” Europhys. Lett., 81 (1), 14001 –14006 (2008). http://dx.doi.org/10.1209/0295-5075/81/14001 EULEEJ 0295-5075 Google Scholar

13. 

L. Z. CaiX. L. YangY. R. Wang, “Interference of three noncoplanar beams: patterns, contrast and polarization optimization,” J. Mod. Opt., 49 (10), 1663 –1672 (2002). http://dx.doi.org/10.1080/09500340110114399 JMOPEW 0950-0340 Google Scholar

14. 

J. L. StayT. K. Gaylord, “Three-beam-interference lithography: contrast and crystallography,” Appl. Opt., 47 (18), 3221 –3230 (2008). http://dx.doi.org/10.1364/AO.47.003221 APOPAI 0003-6935 Google Scholar

15. 

J. L. StayT. K. Gaylord, “Conditions for primitive-lattice-vector-direction equal contrasts in four-beam-interference lithography,” Appl. Opt., 48 (24), 4801 –4813 (2009). http://dx.doi.org/10.1364/AO.48.004801 APOPAI 0003-6935 Google Scholar

16. 

T. Kondoet al., “Femtosecond laser interference technique with diffractive beam splitter for fabrication of three-dimensional photonic crystals,” Appl. Phys. Lett., 79 (6), 725 –727 (2001). http://dx.doi.org/10.1063/1.1391232 APPLAB 0003-6951 Google Scholar

17. 

N. D. Laiet al., “Rapid fabrication of large-area periodic structures containing well-defined defects by combining holography and mask techniques,” Opt. Express, 13 (14), 5331 –5337 (2005). http://dx.doi.org/10.1364/OPEX.13.005331 OPEXFF 1094-4087 Google Scholar

18. 

Y. K. Linet al., “Five beam holographic lithography for simultaneous fabrication of three dimensional photonic crystal templates and line defects using phase tunable diffractive optical element,” Opt. Express, 17 (19), 16625 –16631 (2009). http://dx.doi.org/10.1364/OE.17.016625 OPEXFF 1094-4087 Google Scholar

19. 

T. A. Savaset al., “Achromatic interferometric lithography for 100-nm-period gratings and grids,” J. Vac. Sci. Technol. B, Microelectron. Nanometer Struc. Process. Meas. Phenomena, 13 (6), 2732 –2735 (1995). http://dx.doi.org/10.1116/1.588255 JVTBD9 0734-211X Google Scholar

20. 

G. M. BurrowM. C. R. LeiboviciT. K. Gaylord, “Pattern-integrated interference lithography: single-exposure fabrication of photonic-crystal structures,” Appl. Opt., 51 (18), 4028 –4046 (2012). http://dx.doi.org/10.1364/AO.51.004028 APOPAI 0003-6935 Google Scholar

21. 

L. Wuet al., “Fabrication of large area two- and three-dimensional polymer photonic crystals using single refracting prism holographic lithography,” Appl. Phys. Lett., 86 (24), 241102 (2005). http://dx.doi.org/10.1063/1.1947369 APPLAB 0003-6951 Google Scholar

22. 

B. W. Smith, “Design and analysis of a compact EUV interferometric lithography system,” J. Microlithogr. Microfabr. Microsyst., 8 (2), 021207 –021208 (2009). http://dx.doi.org/10.1117/1.3134094 JMMMGF 1537-1646 Google Scholar

23. 

X. Maiet al., “Simple versatile method for fabricating guided-wave gratings,” Appl. Opt., 24 (19), 3155 –3161 (1985). http://dx.doi.org/10.1364/AO.24.003155 APOPAI 0003-6935 Google Scholar

24. 

Y. GuanA. J. Pedraza, “Synthesis and characterization of self-organized nanostructure arrays generated by laser irradiation,” Mater. Res. Soc. Symp. Proc., 818 335 –340 (2004). http://dx.doi.org/10.1557/PROC-818-M11.47.1 MRSPDH 0272-9172 Google Scholar

25. 

V. BergerO. Gauthier-LafayeE. Costard, “Photonic band gaps and holography,” J. Appl. Phys., 82 (1), 60 –64 (1997). http://dx.doi.org/10.1063/1.365849 JAPIAU 0021-8979 Google Scholar

26. 

I. B. Divlianskyet al., “Fabrication of two-dimensional photonic crystals using interference lithography and electrodeposition of CdSe,” Appl. Phys. Lett., 79 (21), 3392 –3394 (2001). http://dx.doi.org/10.1063/1.1420584 APPLAB 0003-6951 Google Scholar

27. 

C. H. LinZ. H. ZhuY. H. Lo, “New grating fabrication technology for optoelectronic devices: cascaded self-induced holography,” Appl. Phys. Lett., 67 (21), 3072 –3074 (1995). http://dx.doi.org/10.1063/1.114868 APPLAB 0003-6951 Google Scholar

28. 

Y. LinP. R. HermanK. Darmawikarta, “Design and holographic fabrication of tetragonal and cubic photonic crystals with phase mask: toward the mass-production of three-dimensional photonic crystals,” Appl. Phys. Lett., 86 (7), 071117 (2005). http://dx.doi.org/10.1063/1.1865329 APPLAB 0003-6951 Google Scholar

29. 

M. Okaiet al., “Novel method to fabricate corrugation for a λ/4-shifted distributed feedback laser using a grating photomask,” Appl. Phys. Lett., 55 (5), 415 –417 (1989). http://dx.doi.org/10.1063/1.101882 APPLAB 0003-6951 Google Scholar

30. 

N. H. RizviM. C. Gower, “Production of submicrometer period Bragg gratings in optical fibers using wavefront division with a biprism and an excimer laser source,” Appl. Phys. Lett., 67 (6), 739 –741 (1995). http://dx.doi.org/10.1063/1.115210 APPLAB 0003-6951 Google Scholar

31. 

Y. C. Zhonget al., “Fabrication of photonic crystals with tunable surface orientation by holographic lithography,” Opt. Express, 14 (15), 6837 –6843 (2006). http://dx.doi.org/10.1364/OE.14.006837 OPEXFF 1094-4087 Google Scholar

32. 

G. M. BurrowT. K. Gaylord, “Interference projection exposure system,” in Frontiers in Optics, OSA Technical Digest (CD), (2011). Google Scholar

33. 

BurrowG. M.GaylordT. K., “Apparatus and method for photolithographic projection exposure for fabrication of one-, two-, and three-dimensional periodic structures with or without integrated patterns,” U.S. Patent Application Publication No. 2012/0081687 (2012).

34. 

R. Wanget al., “Effects of shapes and orientations of scatterers and lattice symmetries on the photonic band gap in two-dimensional photonic crystals,” J. Appl. Phys., 90 (9), 4307 –4313 (2001). http://dx.doi.org/10.1063/1.1406965 JAPIAU 0021-8979 Google Scholar

35. 

H. K. Kanget al., “ID to 2D transitional structure of plasmonic crystals: fabrication and characterization,” Appl. Phys. B: Lasers and Optics, 97 (3), 671 –677 (2009). http://dx.doi.org/10.1007/s00340-009-3754-y APBOEM 0946-2171 Google Scholar

36. 

S. Noda, “Photonic crystal lasers-ultimate nanolasers and broad-area coherent lasers,” J. Opt. Soc. Am. B, 27 (11), B1 –B8 (2010). http://dx.doi.org/10.1364/JOSAB.27.0000B1 JOBPDE 0740-3224 Google Scholar

37. 

S. Nodaet al., “Polarization mode control of two-dimensional photonic crystal laser by unit cell structure design,” Science, 293 (5532), 1123 –1125 (2001). http://dx.doi.org/10.1126/science.1061738 SCIEAS 0036-8075 Google Scholar

38. 

B. Huet al., “High birefringent rhombic-hole photonic crystal fibers,” Appl. Opt., 49 (31), 6098 –6101 (2010). http://dx.doi.org/10.1364/AO.49.006098 APOPAI 0003-6935 Google Scholar

39. 

J. Janget al., “Three-dimensionally-patterned submicrometer-scale hydrogel/air networks that offer a new platform for biomedical applications,” Nano Lett., 8 (5), 1456 –1460 (2008). http://dx.doi.org/10.1021/nl080444+ NALEFD 1530-6984 Google Scholar

40. 

J. Huanget al., “Tunable surface texturing by polarization-controlled three-beam interference,” J. Micromech. Microeng., 20 (9), 95004 –95007 (2010). http://dx.doi.org/10.1088/0960-1317/20/9/095004 JMMIEZ 0960-1317 Google Scholar

41. 

P. Vavassoriet al., “Magnetic switching in submicron-scale periodic magnetic arrays,” J. Appl. Phys., 88 (2), 999 –1003 (2000). http://dx.doi.org/10.1063/1.373768 JAPIAU 0021-8979 Google Scholar

42. 

Z. X. Tanget al., “Absolute left-handed behaviors in a triangular elliptical-rod photonic crystal,” Opt. Express, 13 (24), 9796 –9803 (2005). http://dx.doi.org/10.1364/OPEX.13.009796 OPEXFF 1094-4087 Google Scholar

43. 

X. X. Shenet al., “Negative refractions in two-dimensional photonic crystals formed by holographic lithography,” Opt. Express, 15 (13), 8003 –8009 (2007). http://dx.doi.org/10.1364/OE.15.008003 OPEXFF 1094-4087 Google Scholar

44. 

T. Y. M. ChanO. ToaderS. John, “Photonic band gap templating using optical interference lithography,” Phys. Rev. E, 71 (4), 46605 –46618 (2005). http://dx.doi.org/10.1103/PhysRevE.71.046605 PLEEE8 1063-651X Google Scholar

45. 

M. Salaunet al., “Holographic lithography of a two-dimensional hexagonal structure: effect of beam polarization,” Appl. Surf. Sci., 254 (4), 850 –854 (2007). http://dx.doi.org/10.1016/j.apsusc.2007.07.188 ASUSEE 0169-4332 Google Scholar

46. 

G. M. BurrowT. K. Gaylord, “Constrained parametric optimization of point geometries in multi-beam-interference lithography,” in Frontiers in Optics, OSA Technical Digest (CD), (2010). Google Scholar

47. 

J. L. StayT. K. Gaylord, “Contrast in four-beam-interference lithography,” Opt. Lett., 33 (13), 1434 –1436 (2008). http://dx.doi.org/10.1364/OL.33.001434 OPLEDP 0146-9592 Google Scholar

48. 

Z. FajianZ. LiangminR. O. Claus, “Investigation of the photoresist pattern profile contrast improvement in interference lithography technique using 488-nm laser,” IEEE Trans. Semicond. Manuf., 21 (3), 464 –468 (2008). http://dx.doi.org/10.1109/TSM.2008.2001224 ITSMED 0894-6507 Google Scholar

Biography

JM3_11_4_043004_d001.png

Guy M. Burrow is a BS (electrical engineering) graduate of the United States Military Academy and an MS (electrical and computer engineering) and PhD (electrical and computer engineering) graduate of the Georgia Institute of Technology. He is currently an assistant professor at the United States Military Academy at West Point. His research interests include interference lithography, photonics, and satellite communication and navigation.

JM3_11_4_043004_d002.png

Thomas K. Gaylord is a BS (physics) and MS (electrical engineering) graduate of the University of Missouri-Rolla and a PhD (electrical engineering) graduate of Rice University. He is currently Julius Brown Chair and Regents’ Professor of Electrical and Computer Engineering at Georgia Institute of Technology. His research is in the areas of diffractive optics, interference lithography, electro-optics, fiber optics, integrated optics, and optoelectronics. He is the author of more than 250 journal publications and 30 patents in these areas. He received the Georgia Tech Outstanding Teacher Award, the Engineer of the Year Award from the Georgia Society of Professional Engineers, the Esther Hoffman Beller education medal from the Optical Society of America, and the Georgia Tech Distinguished Professor Award.

© 2012 Society of Photo-Optical Instrumentation Engineers (SPIE) 0091-3286/2012/$25.00 © 2012 SPIE
Guy Burrow and Thomas K. Gaylord "Parametric constraints in multi-beam interference," Journal of Micro/Nanolithography, MEMS, and MOEMS 11(4), 043004 (4 October 2012). https://doi.org/10.1117/1.JMM.11.4.043004
Published: 4 October 2012
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Polarization

Optical lithography

Beam splitters

Photonic crystals

Biomedical optics

Interferometry

Beam controllers


CHORUS Article. This article was made freely available starting 04 October 2013

RELATED CONTENT


Back to Top