Open Access
12 August 2013 High-performance wire-grid polarizers using jet and Flash™ imprint lithography
Se Hyun Ahn, Shuqiang Yang, Mike Miller, Maha Ganapathisubramanian, Marlon Menezes, Jin H. Choi, Frank Y. Xu, Douglas J. Resnick, S. V. Sreenivasan
Author Affiliations +
Abstract
Extremely large-area roll-to-roll (R2R) manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. In order to achieve low-cost nanopatterning, it is imperative to move toward high-speed imprinting, less complex tools, near zero waste of consumables, and low-cost substrates. We have developed a roll-based J-FIL process and applied it to a technology demonstrator tool, the LithoFlex 100, to fabricate large-area flexible bilayer wire-grid polarizers (WGPs) and high-performance WGPs on rigid glass substrates. Extinction ratios of better than 10,000 are obtained for the glass-based WGPs. Two simulation packages are also employed to understand the effects of pitch, aluminum thickness, and pattern defectivity on the optical performance of the WGP devices. It is determined that the WGPs can be influenced by both clear and opaque defects in the gratings; however, the defect densities are relaxed relative to the requirements of a high-density semiconductor device.

1.

Introduction

The ability to pattern materials at the nanoscale can enable a variety of applications ranging from high-density data storage, displays, photonic devices and complementary metal-oxide-semiconductor integrated circuits to emerging applications in the biomedical and energy sectors. These applications require varying levels of pattern control, short- and long-range order, and have varying cost tolerances.

Roll-to-roll (R2R) printing or web printing involves the patterning of flexible materials such as plastics or metal foils. The flexible material, or web, is unwound from a core, processed, and then returned to a second core at the end of the sequence. R2R processing is in use today by industry and many R2R processes already exist for etch and deposition. Lithographic processes are also established for micron-scale manufacturing and for applications that only require polymer embossing without any subsequent processing.1 Recent work has investigated devices requiring metal etching in conjunction with imprint lithography, but again at a micron scale.2 However, R2R patterning of arbitrary patterns with thin residual layer control (needed for subsequent pattern transfer) at the nanoscale is far more challenging, particularly at a cost structure suited for commodity applications. The challenge is to create a process that is scalable and meets defectivity, throughput, and cost of ownership requirements.

The cost of manufacturing is typically driven by speed (or throughput), tool complexity, cost of consumables (materials used, mold or master cost, etc.), substrate cost, and the downstream processing required (annealing, deposition, etching, etc.). In order to achieve low-cost nanopatterning, it is imperative to move toward high-speed imprinting, less complex tools, near zero waste of consumables, and low-cost substrates. Several research groups are currently investigating roll-based nanoimprinting using either thermal or ultra violet (UV) processes established for planar applications.36 These approaches are limited in their ability to simultaneously address the challenges noted above.

There are additional requirements keeping for the adoption of R2R nanoimprint lithography. One requirement is achieving the lithographic performance required for pattern transfer of nanoscale structures (as opposed to strictly a functional pattern such as an embossed film). Typical lithography metrics such as aspect ratio, minimum critical dimension, pattern complexity dependence, residual layer thickness (RLT), and consumables costs are especially demanding at the scale required for realizing patterned nanostructures over large areas and at high throughput. In additional, a manufacturing infrastructure must be established to support production processes. Large-area nanostructured devices will require master patterns written using high-end lithography (e-beam, 193 immersions) and replication to create large-area daughter imprint templates. Also, processing steps such as descum etching must be implemented over a large area and at a throughput similar to the lithographic process.

A roll-based nanoimprint lithography process should also allow for precise and easy control over nanoimprint resist thickness. Nanoimprint lithography (both thermal and UV) has been used by others to pattern very small features, but like traditional lithographic techniques, it requires the use of spin-coaters that necessitates significant resist material waste as well as spinning of the substrate which can limit the size and format of the substrate. Additionally, because the nanoimprint process is essentially a molding technique, the spin-coated resist must fill voids in the nanostructured imprint template to accomplish patterning. Since the thickness of the spin-cast resist film is uniform over the area of the substrate, changes in pattern density/depth will result in nonuniform residual resist thickness at the base of the patterned features. Such nonuniformities can lead to pattern transfer difficulties when using etch process techniques.7,8 Also, spin-coating is not optimal for R2R coating, and knife coating or spray coating is generally employed.9 However, volume control of the imprint resist to a level suitable for thin RLT is extremely challenging.

The jet and flash imprint lithography (J-FIL™) process uses drop dispensing of UV curable resists to assist high-resolution patterning for subsequent dry etch pattern transfer.1017 A drop-on-demand ink-jetting approach is used to reduce material waste and achieve very thin and uniform RLTs by matching the amount of low viscosity resist dispensed to the actual relief images in the imprint template. Following deposition, a controlled pattern fill step is used to fill the relief images. The process takes advantage of the capillary force created between the mask and substrate, and requires no additional pressure during filling, thereby minimizing any distortion or damage to either the mask or substrate.

In this paper, we address the key challenges for roll-based nanopatterning by introducing a novel concept: inkjet-based plate-to-roll nanopatterning. To address this challenge, we have introduced a J-FIL-based demonstrator product, the LithoFlex 100. The topics that are discussed in the paper include tool design and process performance (including process longevity). In addition, we have used the LithoFlex 100 to fabricate high-performance wire-grid polarizers (WGPs) on both fused silica wafers and flexible polycarbonate (PC) films. Finally, the performance of the polarizers has been modeled to understand the impact of defects in the pattern polarizer devices.

2.

Experimental Details

2.1.

Tool Development

The roll-based concept tool discussed in this section, the LithoFlex 100, allows the exploration of key technology risks associated with an inkjet resist driven nanoimprinter. Figure 1 illustrates the basic imprint tool concept, using a template and a roll module, where the flexible film can be patterned. Imprinting is performed by moving the roll module and the template is only translated up or down.

Fig. 1

Imprinting scheme selected for high-throughput flexible film imprinting.

JM3_12_3_031104_f001.png

The process sequence is as follows: first, fluid dispensing with pico-liter volume drops is performed by moving the linear stage onto which the roller module is mounted. Drop patterns are preprogrammed based on the template pattern geometry. Once the fluid drops are dispensed on the film, the roll module is moved to the back side of the template (left side of template as shown in Fig. 1), while the dispensed portion of the film is rolled backwards by the counterclockwise roller motion. Imprinting is performed by the synchronized motion of the roll module and bottom linear stage so that the dispensed portion of the film is brought into contact with the template similar to a laminating process. This is followed by a UV curing step, where a broadband UV spectrum is used and a separation step, where synchronized motions of the roll module and linear stage induce a peeling separation from the template starting at one side and ending at the other side of the template.

Incoming roll films are initially masked with a protection film. Prior to dispensing step, the area to be imprinted on the film is peeled off from the masking layer. After the separation step, the imprinted film is again protected with the same masking layer. This approach keeps the surface of the imprinted film clean and also protects imprinted features after separation. Interfacing surfaces of the imprinting and masking film are not in contact with rollers or other mechanical components in the process loop to avoid contamination of these surfaces.

The process steps of the “technology demonstrator” are sequential and therefore, it is expected that its throughput will be limited as compared to cases where all process steps are done in-parallel. Current throughput is approximately 180 printed fields per hour. A next-generation tool will address parallel processing. Photographs of the LithoFlex 100 are shown in Fig. 2.

Fig. 2

(a) a partial view of LithoFlex100 being used for process development. (b) imprint tool is enclosed within an environment control unit.

JM3_12_3_031104_f002.png

2.2.

Template Form Factor and Fabrication

The template blank is a 6-in. fused silica wafer, identical to the blank used on the NuTera™ HD7000 high-throughput media imprinting systems. Patterning of the template can either be done directly or by replication using an existing master template. For most of the experiments performed, a replication process was employed. The primary pattern consisted of 50- and 65-nm half-pitch gratings. For the earlier experiments, the grating field size on the master template was either 5 or 25 mm on a side, and a step and repeat J-FIL tool was used to replicate the pattern on to the 6 in. round blank. Other replicated patterns included 120-nm curvilinear structures, 100-nm dense pillars, and 25-nm dense holes. Larger area templates were created by patterning a 300-mm silicon wafer using an immersion-based 193-nm scanner. Details of the pattern transfer process used to form the final relief images in the replica have been previously been reported.18,19 Scanning electron microscopy (SEM) images of a grating master with a 65-nm half pitch are shown in Fig. 3.

Fig. 3

SEM cross-sections of a silicon master. The grating half pitch is 65 nm.

JM3_12_3_031104_f003.png

2.3.

Patterning Results

Several pattern types were tested to ensure that imprint nonfill and separation induced defects were addressed. The initial test pattern consisted of curvilinear 120-nm features on a 300-nm pitch, in order to understand if there were any separation issues resulting from pattern direction. Figure 4(a) shows a 10-m roll (over 100 imprints) printed with this pattern, and a close-up of the printed lines. All fields were cleanly imprinted. A second longevity experiment using a 50-nm grating with a 20mm×20mm field was also run. After more than 1000 consecutive imprinted fields, no pattern degradation was observed [see Fig. 4(b) and 4(c)].

Fig. 4

(a) Imprinted pattern covering 10 m of the polycarbonate (PC) substrate. (b) and (c) Demonstration of 1012 consecutive imprints.

JM3_12_3_031104_f004.png

Once the process was established, resolution was tested by imprinting three different patterns: 100-nm dense pillars, 50-nm half-pitch lines, and dense 25-nm holes. All patterns were faithfully resolved. Aspect ratios of up to 31 were also demonstrated for 50-nm lines. Further details on patterning can be found in Ref. 20.

3.

Wire-Grid Polarizer Results

3.1.

Bilayer WGPs

The WGPs are already used in digital projectors. The combination of performance and temperature durability makes their use an attractive choice for this market. Their application to larger displays, including mobile phones, tablets, monitors, and TVs, has been limited by an inability to scale the WGP to the required areas for these markets. A roll-based printing process enables printing over substantially larger areas and therefore addresses the requirements of both performance and CoO.

Using imprinted 50-nm half-pitch gratings (covering a 50mm×50mm area), samples of a bi-layer wire-grid polarizer were fabricated by depositing a thin layer of aluminum (Al) over the printed resist.21 The quality of a WGP can be quantified by measuring optical transmission and extinction ratio (ER). ER is defined as the ratio of the transverse magnetic (TM) mode over the transverse electric (TE) mode (in which the polarizer is rotated by 90 deg), or TM/TE. The transmission and ER of the device (at 700 nm) were >80% and >4500, respectively (Fig. 5).

Fig. 5

50mm×50mm bilayer wire-grid polarizer (WGP) fabricated on a film using roll-based jet and flash imprint lithography (J-FIL) and Al deposition.

JM3_12_3_031104_f005.png

Note the substantial roll-off in both transmission and ER at the lower visible wavelengths. One method for minimizing this problem is to deposit the metal at an angle, thereby restricting the deposited material primarily to the sidewall of the resist feature.22 Figure 6 depicts the performance of a flexible polarizer in which the angle of deposition was set to 80 deg relative to the plane of the polarizer. A transmission of better than 60% is now observed at a wavelength of 400 nm. Figure 7 shows an example of a large-area bilayer polarizer with a measured diagonal of 5.7 in. The polarizer has been placed on top of an iPad display and has been rotated by 90 deg in order to demonstrate performance of both the TM and TE modes.

Fig. 6

Improved performance of a WGP was obtained by depositing the Al at an angle of 80 deg relative to the plane of the PC film.

JM3_12_3_031104_f006.png

Fig. 7

Optical performance of a 5.7-in. flexible WGP.

JM3_12_3_031104_f007.png

3.2.

Etched Wire-Grid Polarizers on Glass

Al-based WGPs formed on glass are typically fabricated by depositing the Al on the glass, patterning the resist grating, and then using the resist as mask to etch the Al. Gratings with half pitches on the order of 50 nm typically have transmissions and ERs that are superior to the bilayer WGPs discussed above. Initial performance results patterned with an older template with a half pitch of 50 nm are compared with the performance of a newer template with a half pitch of 65 nm in Fig. 8(a) and 8(b).

Fig. 8

Etched wire-grid polarizers patterned with two different imprint templates. There is a significant difference in the extinction ratio (ER) for the two polarizers.

JM3_12_3_031104_f008.png

4.

Wire-Grid Polarizer Modeling

4.1.

Two-Dimensional Linear Model

Both etched WGP examples above display superior performance relative to their bilayer WGP counterparts. However, despite the fact that the half pitch of the polarizer shown in Fig. 9(a) is smaller than the WGP in Fig. 9(b) there is a substantial difference in the optical performance. There are several parameters that can affect both the transmission and ER of a WGP. Key parameters include pitch, duty cycle, and Al aspect ratio. To understand the effect of these parameters on optical performance, GSolver, a commercial optical simulation software package, was used to model the optical behavior of two-dimensional linear diffraction gratings.23

Fig. 9

ER as a function of wavelength and Al thickness for a 100-nm pitch WGP (a) and for a 130-nm pitch WGP (b). Duty cycle was set to 1:1 for both gratings.

JM3_12_3_031104_f009.png

Both a 100- and 130-nm pitch WGP are shown below. There are some very clear trends predicted by the model. The first is that while ER is enhanced by increasing the Al thickness, there is a corresponding small decrease in the absolute transmission which becomes more apparent at lower wavelengths. It is also clear that for a given Al thickness, the ER is greater for the smaller pitch. Since the Al thickness is nearly the same for both WGPs in Fig. 9, there is another factor impacting the performance of these polarizers.

4.2.

Three-Dimensional Finite Difference Time Domain Simulation

The other major difference noted in the two polarizers was the defectivity of both the imprinted pattern and the resulting pattern transferred Al. These differences are depicted in the figures below. Figure 10(a) shows an etched Al grating using a manual process involving significant wafer handling. Figure 10(b) is a WGP made with an automated J-FIL process.

Fig. 10

Etched wire-grid polarizers: (a) an etched Al grating using a manual process involving significant wafer handling and (b) a WGP made from an automated J-FIL process.

JM3_12_3_031104_f010.png

To understand how defectivity affects WGP polarizer performance, a finite difference time domain (FDTD) Maxwell solver (from Lumerical Solutions Inc.) was used to simulate a metal wire-grid polarizers.24 To model WGPs containing defects in different sizes and densities, 3-D modeling with a periodic boundary condition along the grating direction (x-axis) and a perfectly matched layers boundary condition for the y and z direction was applied. In the graphs shown below, the Al line size and pitch were set to 50 and 100 nm, respectively. Al thickness was held constant at 100 nm.

The most common defect noted in the SEM from Fig. 10(a) was a “clear” defect with dimensions of approximately 250nm×250nm. In the FDTD model, a clear defect of this size was inserted into a grating with a surface area of 16μm2 (comparable to the defect density observed in SEMs shown in Fig. 10). The results of the model, comparing the transmission (TM and TE) and ER for a defect free WGP and a WGP with a clear area defect are shown in Fig. 11. TM transmission, even at an extremely high defect density remains almost unaffected for both cases. TE transmission and ER, however, are severely impacted, and drops by more than a factor of 5, consistent with the experimental observations from Fig. 9.

Fig. 11

(a) Transmission as a function of wavelength for a clean WGP and a WGP with a clear 250nm×250nm defect every 16μm2. Almost no change in transverse magnetic mode (TM) is observed. (b) ER as a function of wavelength. The clear defect causes a decrease in the ER by more than order of magnitude.

JM3_12_3_031104_f011.png

For completeness, we have also modeled the effects of an opaque defect. A defect size of 250nm×250nm was chosen, in order to be consistent with the modeling above. These results are shown in Fig. 12. For the case of an opaque defect, the resultant change in both transmission (TM and TE) and ER is quite small. This can be explained by the fact that an opaque defect causes almost no change to the TE mode.

Fig. 12

Transmission and ER as a function of wavelength. Minimal changes are observed for both cases.

JM3_12_3_031104_f012.png

Finally, both TM and ER were studied as a function of defect size and defect density across the visible spectrum. Both a smaller (150nm×150nm) and larger (5mm×5mm) defect size were modeled across a range in defect densities. The results for clear defects are shown in Fig. 13. The smaller defect has negligible effects on both TM and ER for defect densities up to 104cm2. The large defect causes a sizable roll-off in ER for densities greater than 100cm2.

Fig. 13

Transmission and ER as a function of “clear” defect density, plotted for three wavelengths covering the spectrum of visible light. The smaller defect has negligible effects on both transmission and ER for defect densities up to 104cm2. The large defect causes a sizable roll-off in ER for densities greater than 100cm2.

JM3_12_3_031104_f013.png

Optical performance of a WGP with opaque defects is shown in Fig. 14. Again, the change in both TM and ER is minimal for the smaller defect. The large defect causes a significant change in transmission for densities above 100cm2. Based on this analysis, the key is to control contamination for the larger defect sizes. Relative to the stringent requirements of semiconductor logic and memory devices, however (which require defect densities of less than 1cm2), the specifications, by comparison, are substantially relaxed.

Fig. 14

TM and ER for two opaque defect sizes. The larger defect causes a significant change in TM for defectivities above 100cm2.

JM3_12_3_031104_f014.png

5.

Conclusions

A novel imprinting scheme, the LithoFlex 100, was successfully implemented for roll-based J-FIL patterning on continuous PC films. A roll module was developed and a prototype roll-based J-FIL tool was assembled and successfully tested. Several different templates, including 50-nm half-pitch gratings and 25-nm half-pitch dense hole arrays, were used to evaluate the imprinting scheme. The system has been used to fabricate large-area flexible bilayer WGPs as well as high-performance WGPs on glass. Experimental data as well as modeling confirm that defectivity can impact the performance of the polarizer; however, the defect levels are very much relaxed relative to the requirements of high-density semiconductor devices. It should also be noted that the defectivity requirements set forth in Sec. 4.2 are now being routinely achieved at pitches of 100 and 130 nm.

Now that the prototype tool is performing efficiently and providing repeatable results, the next step is to scale the tool and process to address industry requirements for both area and throughput. To do this will require both a new template infrastructure and an imprinting scheme with a parallel processing configuration. These topics will also be the subject of future work.

Acknowledgments

The authors would like to thank both the University of Texas and the College of Nanoscale Science and Engineering for their contributions. This work was funded in part by the U.S. Department of Defense Small Business Technology Transfer (STTR) Program, Contract No. FA9550-11-C-0046.

References

1. 

W. Matthias, Hot Embossing: Theory and Technology of Microreplication, William Andrew, Burlington, Massachusetts (2009). Google Scholar

2. 

C. Taussiget al., “Roll-to-roll manufacturing of backplanes for paper-like displays,” (2010). Google Scholar

3. 

A. Jeanset al., “Advances in roll-to-roll imprint lithography for display applications,” Proc. SPIE, 7637 763719 (2010). http://dx.doi.org/10.1117/12.852268 PSISDG 0277-786X Google Scholar

4. 

E. R. Hollandet al., “Adaptation of roll-to-roll imprint lithography: from flexible electronics to structural templates,” Proc. SPIE, 7970 797016 (2011). http://dx.doi.org/10.1117/12.882085 PSISDG 0277-786X Google Scholar

5. 

S. H. AhnL. J. Guo, “High speed roll-to-roll nanoimprint lithography on flexible plastic substrate,” Adv. Mater., 20 2044 –2049 (2008). http://dx.doi.org/10.1002/adma.200702650 ADVMEW 0935-9648 Google Scholar

6. 

S. H. AhnL. J. Guo, “Large-area roll-to-roll and roll-to-plate nanoimprint lithography and analytical models for predicting residual layer thickness,” ACS Nano, 3 (8), 2304 –2310 (2009). http://dx.doi.org/10.1021/nn9003633 1936-0851 Google Scholar

7. 

S. V. Sreenivasan, “Nano-scale manufacturing enabled by imprint lithography,” MRS Bull., 33 854 –863 (2008). http://dx.doi.org/10.1557/mrs2008.181 MRSBEA 0883-7694 Google Scholar

8. 

B. J. Choiet al., “UV nanoimprint lithography,” Handbook of Nanofabrication, Academic Press, Burlington, Massachusetts (2009). Google Scholar

10. 

M. Colburnet al., “Step and flash imprint lithography: a new approach to high resolution printing,” Proc. SPIE, 3576 379 –389 (1999). http://dx.doi.org/10.1117/12.351155 Google Scholar

11. 

M. Colburnet al., “Development and advantages of step-and-flash lithography,” Solid State Technol., 44 67 –76 (2001). SSTEAP 0038-111X Google Scholar

12. 

T. C. Baileyet al., “Template fabrication schemes for step and flash imprint lithography,” Microelectron. Eng., 61–62 461 –467 (2002). http://dx.doi.org/10.1016/S0167-9317(02)00462-8 MIENEF 0167-9317 Google Scholar

13. 

N. Hayashi, “NIL template: progress and challenges,” in 2013 SPIE Advanced Lithography Symposium, (2013). Google Scholar

14. 

S. V. Sreenivasanet al., in Presented at the SPIE Advanced Lithography Symposium, (2009). Google Scholar

15. 

K. Selenidiset al., “Defect reduction progress in step and flash imprint lithography,” Proc. SPIE, 6730 67300F (2007). http://dx.doi.org/10.1117/12.747565 PSISDG 0277-786X Google Scholar

16. 

I. McMackinet al., “Step and repeat UV nanoimprint lithography tools and processes,” Proc. SPIE, 5374 222 –231 (2004). http://dx.doi.org/10.1117/12.538733 PSISDG 0277-786X Google Scholar

17. 

L. Singhet al., “Defect reduction of high-density full-field patterns in jet and flash imprint lithography,” Proc. SPIE, 797007 (2011). http://dx.doi.org/10.1117/12.879933 PSISDG 0277-786X Google Scholar

18. 

C. Brookset al., “Development of template and mask replication using jet and flash imprint lithography,” Proc. SPIE, 7823 797007 (2010). http://dx.doi.org/10.1117/12.864332 PSISDG 0277-786X Google Scholar

19. 

K. S. Selinidis, “Progress in mask replication using jet and flash imprint lithography,” Proc. SPIE, 7970 797009 (2011). http://dx.doi.org/10.1117/12.881647 PSISDG 0277-786X Google Scholar

20. 

S. Ahn, “Roll-to-roll nanopatterning using jet and flash imprint lithography,” Proc. SPIE, 8323 83231L (2012). http://dx.doi.org/10.1117/12.918040 PSISDG 0277-786X Google Scholar

21. 

Y. Ekinciet al., “Bilayer Al wire-grids as broadband and high-performance polarizers,” Opt. Express, 14 (6), 2323 (2006). http://dx.doi.org/10.1364/OE.14.002323 OPEXFF 1094-4087 Google Scholar

22. 

L. Chenet al., “Large flexible nanowire grid visible polarizer made by nanoimprint lithography,” Appl. Phys. Lett., 90 063111 (2007). http://dx.doi.org/10.1063/1.2472532 APPLAB 0003-6951 Google Scholar

23. 

T. K. GaylordM. G. Moharam, “Analysis and application of optical diffraction by gratings,” Proc. IEEE, 73 894 (1985). http://dx.doi.org/10.1109/PROC.1985.13220 IEEPAD 0018-9219 Google Scholar

24. 

A.-C. WeiH.-P. D. ShiehJ.-R. Sze, “Study of effect of seam on wire-grid polarizer efficiency using rigorous coupled-wave analysis,” Jpn. J. Appl. Phys., 46 5379 (2007). http://dx.doi.org/10.1143/JJAP.46.5379 JJAPA5 0021-4922 Google Scholar

Biographies and photographs of the authors not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Se Hyun Ahn, Shuqiang Yang, Mike Miller, Maha Ganapathisubramanian, Marlon Menezes, Jin H. Choi, Frank Y. Xu, Douglas J. Resnick, and S. V. Sreenivasan "High-performance wire-grid polarizers using jet and Flash™ imprint lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 12(3), 031104 (12 August 2013). https://doi.org/10.1117/1.JMM.12.3.031104
Published: 12 August 2013
Lens.org Logo
CITATIONS
Cited by 21 scholarly publications and 3 patents.
Advertisement
Advertisement
KEYWORDS
Polarizers

Lithography

Aluminum

Nanostructures

Etching

Nanoimprint lithography

Opacity

Back to Top