Open Access
9 December 2017 Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks
Author Affiliations +
Abstract
Achieving high-throughput extreme ultraviolet (EUV) patterning remains a major challenge due to low source power; phase-shift masks can help solve this challenge for dense features near the resolution limit by creating brighter images than traditional absorber masks when illuminated with the same source power. We explore applications of etched multilayer phase-shift masks for EUV lithography, both in the current-generation 0.33 NA and next-generation 0.55 NA systems. We derive analytic formulas for the thin-mask throughput gains, which are 2.42× for lines and spaces and 5.86× for contacts compared with an absorber mask with dipole and quadrupole illumination, respectively. Using rigorous finite-difference time-domain simulations, we quantify variations in these gains by pitch and orientation, finding 87% to 113% of the thin-mask value for lines and spaces and a 91% to 99% for contacts. We introduce an edge placement error metric, which accounts for CD errors, relative feature motion, and telecentricity errors, and use this metric both to optimize mask designs for individual features and to explore which features can be printed on the same mask. Furthermore, we find that although partial coherence shrinks the process window, at an achievable sigma of 0.2 we obtain a depth of focus of 340 nm and an exposure latitude of 39.2%, suggesting that partial coherence will not limit the feasibility of this technology. Finally, we show that many problems such as sensitivity to etch uniformity can be greatly mitigated using a central obscuration in the imaging pupil.

1.

Introduction

Phase-shift masks are well known to provide benefits in terms of resolution and process window,1,2 and there is an existing body of work on modeling phase-shift masks for deep ultraviolet (DUV) lithography including fast algorithms3 and thorough studies of electromagnetic effects.4,5 Furthermore, application to extreme ultraviolet (EUV) masks has been shown for both relief masks6 and etched multilayer masks.7 More recently, Naulleau et al.8 demonstrated the use of an etched multilayer phase-shift mask (Fig. 1) for very high efficiency printing of dense line–space and contact array patterns. The results for the contact array are particularly encouraging, with a demonstrated 8× brighter image and 7× shorter exposure time.8 In this paper, we employ rigorous finite-difference time-domain (FDTD) simulations carried out with Panoramic Hyperlith software, along with edge placement error (EPE) process window analysis to explore the feasibility of using etched multilayer phase-shift masks for EUV lithography.

Fig. 1

Cross section and top-down scanning electron micrograph of 50-nm pitch coded contact array; light areas are unetched multilayer and dark areas are etched multilayer.8

JM3_16_4_041012_f001.png

In Sec. 2, we review the basic concepts of phase-shift masks, including their key advantages and limitations. We derive analytic formulas to predict the throughput gains of phase-shift masks over traditional absorber masks for both line–space and contact array patterns near the resolution limit. In Sec. 3, we introduce our proposed realization of the phase-shift mask for EUV: the etched multilayer phase-shift mask. We define the multilayer’s composition and calculate its angular response, then define the pattern geometry and calculate the large-area phase-shift introduced by etching into the multilayer, and finally introduce anamorphic magnification. In Sec. 4, we discuss diffraction, reflection, and shadowing in multilayer mirrors; then we use FDTD to demonstrate pitch- and orientation-dependent 3-D effects. In Sec. 5, we introduce the maximum uncorrectable EPE metric, EPEmax, which accounts for CD errors, relative feature motion, and telecentricity errors. In Sec. 6, we optimize mask designs for various line–space and contact array features by maximizing the area of the process window. We explore both the current-generation 0.33 NA and next-generation 0.55 NA systems and consider multiple line–space pitches and orientations for each system to determine which features can feasibly be printed together on the same mask. In Sec. 7, we quantify sensitivity to partially coherent illumination. Finally, in Sec. 8, we propose several potential solutions to improve the performance of phase-shift masks at EUV, such as using a central obscuration, including subresolution assist features (SRAFs) on the mask and engineering an improved multilayer stack for this application.

2.

Phase-Shift Masks: Advantages and Limitations

In this paper, we consider absorber-free phase-shift masks, which have approximately uniform reflectivity across the surface and create contrast by modulating the phase of reflected light. For printing dense features near the resolution limit, phase-shift masks produce much brighter images than traditional absorber masks, which create contrast primarily by modulating the intensity of reflected light. This increase in brightness can have a large impact at EUV, where limited source power is a bottleneck to scanner productivity. However, the limited degrees of freedom that arise from only modulating the reflected phase also lead to limitations in what patterns can be printed by phase-shift masks. In this section, we explore these advantages and limitations using the thin-mask model (TMM), which is an idealized treatment whereby we represent each region of the mask by the complex-valued reflection coefficient it would have if it were infinite in extent. In Secs. 4 and 6, we will see that this treatment misses several key physical effects but still predicts the performance gains of EUV-etched phase-shift masks.

2.1.

Frequency Doubling

In this paper, we explore the benefits of phase-shift masks for printing dense features near the resolution limit. The resolution limit is given by

R=k1λNA,
where R is the smallest half-pitch that can be printed, λ is the wavelength, NA is the image-side numerical aperture, and the theoretical lower limit for k1 is 0.25 (see Mack9). However, achieving this resolution limit with an absorber mask requires off-axis illumination to shift diffraction orders with higher spatial frequencies into the imaging pupil; unfortunately, this illumination also has the effect of shifting other diffracted orders out of the pupil, reducing the incident power at the wafer. By contrast, phase-shift masks can achieve the theoretical lower limit for k1 without resorting to off-axis illumination by exploiting frequency-doubling as shown in Fig. 2 for a line–space pattern with wafer pitch pwf=25  nm, corresponding to k10.3. If the phase-shift mask has a dense, uniform array of alternating reflectance 1 and 1, the zero-order or average electric field vanishes. For a feature near the resolution limit, where only the 0 and ±1 orders pass through pupil with on-axis illumination, this results in two-beam imaging of the ±1 orders, which creates an electric field at the wafer that oscillates between positive and negative. This results in an intensity image with twice the spatial frequency of the electric field. Note that in Fig. 2 and throughout this paper, the incident intensity on the mask is normalized to 1.

Fig. 2

(a) Amplitudes of 0 and ±1 scattered orders for phase-shift mask, (b) electric field at wafer, and (c) intensity image.

JM3_16_4_041012_f002.png

2.2.

Minimum and Maximum Pitch

Since this process is based on two-beam imaging of the ±1 orders, it cannot be used to print all pitches. Clearly, the pitch must be large enough that the ±1 orders pass through the pupil. However, the pitch must be small enough that the ±3 orders miss the pupil; we will allow the ±2 orders into the pupil since the ideal phase-shift mask suppresses all even diffracted orders. Assuming conventional illumination with partial coherence radius σ, each diffraction order will be a shifted copy of the illumination pattern, centered about normalized spatial frequency 14k1, where the factor of 4 is due to frequency-doubling and the definition of k1 in terms of half-pitch. By solving for k1, where the ±1 orders start to leave the pupil and where the ±3 orders start to enter the pupil, we obtain the following condition on feature size:

14(1σ)<k1<34(1+σ).
Evaluating this expression at the fully coherent case σ=0, we obtain 0.25<k1<0.75, while using the more realistic value of σ=0.2, we obtain 0.3<k1<0.6.

2.3.

Efficiency Gains for Lines and Spaces

To quantify the theoretical efficiency gains of phase-shift masks over absorber masks, we consider two idealized mask designs, each composed of equal regions of alternating reflectance R1 and R2: (R1,R2)=(1,0) for the absorber mask and (R1,R2)=(1,1) for the phase-shift mask. We will use each mask type to print a line–space pattern with wafer pitch pwf=25  nm using λ=13.5  nm, NA=0.33, and magnification m=4, meaning that this feature has k1=25  nm20.3313.5  nm0.3. For the absorber mask, we use dipole illumination with a mask pitch pmask=mpwf, while, due to frequency doubling for the phase-shift mask, we use on-axis illumination with a mask pitch pmask=2  mpwf. Using Fourier diffraction methods as outlined in Smith,10 we may model the reflection function with arbitrary complex reflection coefficients R1 and R2 as

R(x)=[R1rect(xp/2)+R2rect(xp2p/2)]*comb(xp).

We then decompose the reflection function by its Fourier transform, representing decomposition into plane waves. Due to the periodic nature of the pattern, the Fourier transform only contains spatial frequencies that are integer multiples of 1p:

R(x)=jajei2πxjp,
where the coefficients aj are called the diffraction efficiencies. Using the convention that the incident intensity on the mask is normalized to 1, these are given by

Eq. (1)

aj={R1+R22,j=0sin(πj2)πj[R1+R2eiπj],j0.

From these formulas, we calculate the 0 and ±1 order diffraction efficiencies for the two masks, denoted ajAbs and ajPSM for the absorber and phase-shift mask, respectively:

ajAbs={12,j=01π,j=±1,ajPSM={0,j=02π,j=±1.

Modeling the illumination poles as mutually incoherent delta-functions, we then compute the aerial images for the absorber and phase-shift masks as shown in Fig. 3. For the phase-shift mask, the on-axis illumination keeps the diffraction pattern centered in the pupil, allowing the 0 and ±1 orders to pass through. In contrast, each of the two illumination poles for the absorber mask shifts the diffraction pattern, allowing only the 0 and 1 or 0 and 1 orders to pass through. Due to symmetry, we need only consider one illumination pole for the absorber mask, since both poles create identical images that add incoherently. Finally, note that since all the diffraction efficiencies are positive and real, all waves are in phase at x=0, meaning that for either mask the maximum intensity is simply given by

Imax=I(0)=|E(0)|2=|jaj|2,
where the summation is over orders j that fall within the pupil. This results in the phase-shift mask’s peak intensity being higher by a factor of
(j=1,0,1ajPSM)2(j=0,1ajAbs)2=(2π+2π)2(12+1π)22.42.

Fig. 3

(a) Dipole illumination for absorber mask (blue) and conventional illumination for phase-shift mask (red), (b) pupil plane plot of one illumination pole for each mask, and (c) aerial images from each mask.

JM3_16_4_041012_f003.png

2.4.

Efficiency Gains for Contacts

The contact array pattern is the two-dimensional version of the line–space pattern. For these special cases of (R1,R2)=(1,0) and (R1,R2)=(1,1) for the two masks, we obtain a separable form of the 2-D reflection function

R(x,y)=R(x)R(y).

This yields the formulas for the contact array diffraction efficiencies in terms of line–space diffraction efficiencies:

aj,kAbs=ajAbsakAbs,aj,kPSM=ajPSMakPSM.

Similarly to the line–space pattern, the on-axis illumination for the phase-shift mask keeps the diffraction pattern centered in the pupil, now allowing a total of nine orders to pass through. Again due to symmetry, we need only consider a single pole of the absorber mask, which shifts the diffraction pattern, allowing only four orders to pass through. Since again all diffraction efficiencies are positive and real, we apply the same calculation for peak intensity, resulting in the phase-shift mask’s peak being brighter by a factor of

(j,k=1,0,1ajPSMakPSM)2(j,k=0,1ajAbsakAbs)2=[(2π)(2π)+(2π)(2π)+(2π)(2π)+(2π)(2π)]2[(12)(12)+(12)(1π)+(1π)(12)+(1π)(1π)]25.86.

The differences between imaging with the two masks are shown in Fig. 4.

Fig. 4

(a) Quadrupole illumination for absorber mask (blue) and conventional illumination for phase-shift mask (red), (b) pupil plane plot of one illumination pole for each mask, and (c) aerial image cross-sections from each mask.

JM3_16_4_041012_f004.png

2.5.

Summary of Efficiency Gains

The efficiency gains for the idealized phase-shift mask are shown in Table 1. Note that these gains are due both to the larger reflective area on the phase-shift mask as well as to the inefficiency of dipole and quadrupole illumination patterns, which shift significant scattered orders outside of the pupil, reducing the power incident at the wafer.

Table 1

Comparison of printing near minimum pitch using absorber and phase-shift masks. Analysis based on TMM. λ=13.5  nm, NA=0.33, and m=4. Dipole illumination poles placed at (±12pwf,0)=(±150  nm,0)=(±0.82,0)NAλ. Quadrupole illumination poles placed at (±12pwf,±12pwf)=(±172  nm,±172  nm)=(±0.57,±0.57)NAλ.

PatternMask typeIlluminationpwf (nm)pmask (nm)Peak intensityPeak ratio
Line spaceAbsorberDipole251000.671
Line spacePhase shiftConventional252001.622.42
Contact arrayAbsorberQuadrupole361440.451
Contact arrayPhase shiftConventional362882.625.86

3.

Etched Multilayer Phase-Shift Masks for EUV

3.1.

Multilayer Mirror Structure

Now that the theoretical advantages of phase-shift masks have been quantified, we turn our attention to one possible realization of phase-shift masks for EUV lithography: etched multilayer phase-shift masks. All EUV masks employ a multilayer mirror substrate due to the need for high reflectivity at EUV. All simulations in this paper are based on a multilayer design of Mo-Si bilayers with a d-spacing of d=6.95  nm and a Mo duty-cycle of γ=0.4, with a tcap=2-nm-thick Ru capping layer, operating at a wavelength of λ=13.5  nm with off-axis illumination 6 deg from normal. More complicated multilayer stacks accounting for such effects as inter-diffusion layers or interface roughness are not considered in this paper but may be a subject for future work. For this multilayer mask structure, over the angular range of 2 deg to 10 deg (roughly corresponding to the mask-side acceptance angles), the reflectivity is fairly uniform and always over 0.7. The phase response on the other hand has significant nonuniformity, with a phase shift of over 0.1 waves over this range of angles. Figure 5 shows the multilayer angular response, calculated using n and k values from the Center for X-Ray Optics (CXRO) database,11 using the transfer-matrix method.12

Fig. 5

(a) Multilayer stack design and (b) reflected intensity and phase versus angle. n and k values drawn from CXRO database for λ=13.5  nm. Values used: Si: n=0.99900154, k=0.0018265; Mo: n=0.923791, k=0.0064358; and Ru: n=0.8864, k=0.017066.

JM3_16_4_041012_f005.png

3.2.

Phase Shift from Etching

As discussed in Sec. 2, the ideal phase-shift mask design has two regions with equal reflectivity and a relative phase shift of π. We denote the duty-cycle of the unetched pattern D and the etch depth in bilayers NEtch. In dimensions of length, the width of the unetched region is w=Dpmask and the etch depth is zEtch=tCap+dNEtch. Again using the transfer-matrix method, we compute the reflectivity and phase-shift for each integer value of NEtch from 0 to 20 bilayers, as shown in Fig. 6. Note that the reflectivity is nearly constant over this range, while the phase obeys a linear relationship with NEtch. After an etch depth of 20 bilayers, a π phase-shift is achieved. However, we will later see that this large etch depth, (zEtch=141  nm) is far from the regime of small vertical and large horizontal dimensions where the thin-mask and transfer-matrix methods are accurate. This introduces significant electromagnetic edge effects, which we will later compensate for by adjusting NEtch and D.

Fig. 6

(a) Schematic of pattern dimensions and (b) reflected intensity of etched region and phase shift between regions versus NEtch.

JM3_16_4_041012_f006.png

3.3.

Anamorphic Versus Isomorphic Magnification

Unlike traditional lithography tools, the next-generation of EUV lithography tools will use anamorphic magnification, which applies a different magnification to the two directions to minimize mask shadowing effects.13,14 We will analyze the performance of etched multilayer phase-shift masks using both current-generation 0.33 NA isomorphic 4× magnification, as well as next-generation 0.55 NA anamorphic 4×/8× magnification. An example of printing isomorphic contacts using both mask technologies is shown in Fig. 7.

Fig. 7

(a) 0.33 NA isomorphic 4× magnification to print pwf=36  nm contacts. (b) 0.55 NA anamorphic 4×/8× magnification to print pwf=22  nm contacts. Left to right: mask geometry, mask-side pupil, wafer-side pupil, and aerial image.

JM3_16_4_041012_f007.png

4.

Diffraction and Reflection

Due to the 6 deg off-axis illumination in EUV lithography, there is an asymmetry between features oriented with the direction of periodic variation perpendicular to the plane of incidence formed by the chief ray and the mask surface normal (nonshadowing) or in the plane of incidence (shadowing).

4.1.

Multilayer Dispersion of Diffracted Waves

One source of asymmetry is ray-optical shadowing, whereby one sidewall is illuminated by incident light rays and the other sidewall casts a shadow as shown in Fig. 8(a). The angular dispersion of the multilayer is another source of asymmetry. As shown in Fig. 5, plane waves with different angles of incidence relative to normal acquire a different amplitude and phase upon reflection from the multilayer mirror. This applies to diffraction orders, which propagate through the multilayer at different angles, particularly affecting the relative phase of the orders. This angular dispersion is a source of asymmetry between the shadowing and nonshadowing orientations because the angle of the j’th diffracted order relative to the multilayer surface normal (θj) is different for the two orientations and is given by

Eq. (2)

sinθj={jλpmask+sinθ,shadowing(jλpmask)2+sin2θnonshadowing,
where θ is the angle of incidence (6 deg). These angles are shown for j=0,±1 in Fig. 8, where we see that in the nonshadowing orientation the ±1 orders propagate at the same angle relative to normal, causing them to receive the same phase shift. However, in the shadowing orientation, the ±1 orders propagate above and below the angle of incidence, respectively, leading to a relative phase-shift between the orders, which can degrade image quality. Also note that in the 0.33 NA isomorphic system, the angular distance between the 0 and 1 orders is much larger in the shadowing direction than in the nonshadowing direction. This can be understood by Eq. (2), due to squaring the λpmask term (0.0675), which is significantly smaller than the sinθ term (0.1045). The anamorphic system mitigates this effect by doubling the size of all shadowing orientation features on the mask, which leads to a similar angular distance between the 0 and 1 orders in both orientations. Overall, compared with the 0.33 NA isomorphic system, the 0.55 NA anamorphic system simultaneously produces larger diffracted angles and stronger edge effects in the nonshadowing direction and conversely smaller diffracted angles, reduced edge effects, and reduced geometric shadowing in the shadowing direction. This is shown in Fig. 8 by the increased nonshadowing dispersion and decreased shadowing dispersion in the 0.55 NA system.

Fig. 8

(a) Nonshadowing orientation and (b) shadowing orientation. Top to bottom: Incident ray diagram; diffracted angles at 0.33 NA isomorphic 4× magnification, pwf=25  nm; diffracted angles at 0.55 NA anamorphic 4×/8× magnification, pwf=15  nm.

JM3_16_4_041012_f008.png

4.2.

Pitch-Dependence

Thin-mask and transfer-matrix methods are only accurate in the regime of small vertical and large horizontal dimensions (approximately vertical dimensions <λ2 and horizontal dimensions >2λ),9 which are not valid assumptions for these features as the etch depth is on the order of 10λ. Using rigorous FDTD analysis, we may quantify the deviation of the amplitudes of the diffracted electric fields as a function of etch depth from the thin-mask transfer-matrix prediction, which is calculated by computing the R1 and R2 values for the etched and unetched multilayer stacks as shown in Fig. 6 and then calculating diffraction efficiencies from Eq. (1). This is shown in Fig. 9, where we see that decreasing the pitch causes further deviation from the TMM. Again, we use the convention that the incident intensity on the mask is normalized to 1. Although the TMM predicts that the zero order is minimized at NEtch=20 bilayers (when the π phase-shift occurs), the FDTD simulation shows that, as the pitch decreases, a deeper etch is required, as shown in Fig. 10. This effect has also been observed in DUV phase-shift masks4,5 and is likely due to electromagnetic edge effects rather than angular dispersion of the multilayer. As the pitch decreases, the amplitudes of the ±1 orders become attenuated, likely due to a combination of edge effects and a lower multilayer reflectivity at higher angles.

Fig. 9

Amplitude of 0, ±1 orders versus NEtch for (a) 0.33 NA and (b) 0.55 NA nonshadowing features.

JM3_16_4_041012_f009.png

Fig. 10

Etch depth that minimizes zero-order amplitude versus wafer pitch, nonshadowing features.

JM3_16_4_041012_f010.png

The different etch depths required to print different pitches may limit what features can be printed in a single exposure because it is not feasible to include multiple etch depths on the same mask. This effect may be overcome at 0.33 NA, since the difference in optimal etch depth between 25 (k10.3) and 50 nm (k10.6) features is only about one bilayer. However, the effect becomes much more concerning at 0.55 NA, where the difference in optimal etch depth is roughly four bilayers. These feature sizes were chosen based on σ=0.2 and the criterion 14(1σ)<k1<34(1+σ)0.3<k1<0.6. Therefore, the two features cover the entire printable range at σ=0.2 and include both the cases of three-beam imaging of the 0, ±1 orders (k10.3) and five-beam imaging of the 0, ±1, and ±2 orders (k10.6).

4.3.

Orientation Dependence

Carrying out similar analysis in the shadowing orientation, in Fig. 11, we can see that, just as in the nonshadowing orientation, smaller features on the mask require a deeper etch to minimize the zero order. In this orientation, the ±1 orders become asymmetric, an effect which becomes more severe at smaller mask pitches. However, due to the anamorphic magnification of the 0.55 NA system, features at the same k1 in the shadowing orientation are larger by a factor of 1.2 on the mask than at 0.33 NA, causing them to experience somewhat less deviation from the thin-mask prediction. This stands in contrast to the nonshadowing orientation (Fig. 9), where at 0.55 NA, features at the same k1 are smaller by a factor of 0.6 on the mask than at 0.33 NA, causing them to experience significantly more deviation from the thin-mask prediction.

Fig. 11

Amplitude of 0, ±1 orders versus NEtch for (a) 0.33 NA and (b) 0.55 NA shadowing features.

JM3_16_4_041012_f011.png

5.

Edge Placement Error Process Window Optimization

5.1.

EPEmax: Maximum Uncorrectable Edge Placement Error

To quantify the patterning performance of etched multilayer phase-shift masks, we introduce a metric referred to as the maximum uncorrectable edge placement error (EPE), or simply EPEmax, which tracks the maximum deviation of all edges from their nominal locations after correcting for an average shift of the entire pattern at best focus and exposure threshold. Note that for the line–space pattern, due to frequency doubling, two lines are printed in each electric-field period; since two edges are considered in each line, a total of four edge points are used to compute EPEmax. In contrast for the contact-array pattern, frequency doubling in both horizontal and vertical directions introduces four contacts in each electric-field period; since two horizontal and two vertical edges are considered for each contact, a total of 16 edge points are used to compute EPEmax.

As shown in Fig. 12, to calculate this metric, we (a) calculate image edge location, xi(d,t) for each (i,d,t) (edge index, defocus, and intensity threshold); (b) subtract off the nominal edge locations xinom to obtain: EPEi(d,t)xi(d,t)xinom; (c) calculate the average pattern shift at each (d,t): Δx(d,t)meani[EPEi(d,t)]; (d) find the best focus, intensity threshold, and pattern shift by minimizing: (d*,t*)argmin(d,t)maxi|EPEi(d,t)Δx(d,t)|, then defining Δx*Δx(d*,t*); (e) calculate uncorrectable EPE for each edge: |EPEi(d,t)Δx*|; and (f) calculate maximum uncorrectable EPE: EPEmax(d,t)maxi|EPEi(d,t)Δx*|.

Fig. 12

Calculation of EPEmax.

JM3_16_4_041012_f012.png

By tracking the positions of all edges, this metric accounts for many types of patterning errors, including CD errors, placement errors, and telecentricity errors. For any given mask design, we compute EPEmax through focus and intensity threshold, set a specification limit EPEspec, and calculate the process window or range of acceptable focus and dose errors. We can then optimize the mask design to maximize the area of the process window. Furthermore, we can co-optimize the design for printing multiple features on the same mask by maximizing their process-window overlap.

6.

Optimized Mask Designs

In this section, we apply the EPEmax methodology to optimize mask designs for both the line–space and contact-array patterns, for both the current-generation 0.33 NA isomorphic and the next-generation 0.55 NA anamorphic systems. For each system, we consider the same pitches used previously (k10.3,0.6). The designs are optimized to maximize the area of the EPEmax process window as a function of defocus and intensity threshold, by adjusting the etch depth NEtch and the duty-cycle D as shown in Fig. 6 for line–space patterns and by adjusting the etch depth NEtch for contact arrays. All images were calculated using FDTD in Panoramic Hyperlith software, using a single illumination pole at the center of the pupil, with incident intensity on the mask normalized to 1; partial coherence will be addressed in the following major section.

6.1.

Line–Space, NA = 0.33 Isomorphic

Below in Fig. 13, we show the process windows and optimized aerial images through focus on the 0.33 NA isomorphic system. Each row shows one pwf and orientation, and each column shows one NEtch; the process window shown for each case uses the optimized D. The aerial images through focus use the optimized NEtch and D. Note that for the three-beam imaging cases (pwf=25  nm), an extended depth of focus is achieved at the optimized NEtch. As might be expected, these NEtch values found by optimization turn out to be the values that minimize the zero-order amplitude (Fig. 10). The process window is narrower for the larger features, due to the presence of the ±2 orders in the pupil, and is especially restrictive for the pwf=50  nm shadowing feature due to the phase asymmetry in the diffracted orders as shown in Fig. 14. Another reason that the process window is narrower for these features is that the same EPEspec of 1 nm is applied to all features despite the factor of two difference in pitch.

Fig. 13

(a) EPEmax process windows for NEtch=2124 bilayers, each pitch and orientation; each process window calculated with optimal D. (b) Aerial images through focus. Solid lines are contours at highlighted threshold values and dotted lines are nominal edge positions after correcting for Δx*. Aerial images use (NEtch,D) with the largest process window at EPEspec=1  nm.

JM3_16_4_041012_f013.png

Fig. 14

(a) pwf=50  nm scattered order amplitude and (b) scattered order phase.

JM3_16_4_041012_f014.png

Whether these process windows are acceptable for manufacturing will depend on which features must be printed on the same mask, as well as the precise specifications for each feature. Printing multiple features in the same exposure would cause a loss in process window, both because there is no single etch depth that is optimal for all features and because the exposure process windows do not align for all features. As we will later show, sensitivity to etch depth as well as overall depth of focus can be greatly improved with a central obscuration. As a topic of future work, it may also be possible to increase the process window overlap using SRAFs.

6.2.

Line–Space, NA = 0.55 Anamorphic

In the previous section, we considered pwf=25  nm, 50 nm for a wafer-side NA of 0.33. In this section, we proportionally scale down these pitches for a wafer-side NA of 0.55, to pwf=15  nm, 30 nm, as shown in Fig. 15. The most significant difference from 0.33 NA is that at 0.55 NA different features can achieve their widest process window at NEtch values that differ by up to four bilayers. This behavior can also be seen in Figs. 9 and 11 and arises because the anamorphic magnification leads to much smaller mask pitches in the nonshadowing direction, which then require larger values of NEtch to minimize the zero order. These differences in optimal etch depth greatly increase the difficulty of printing these features simultaneously; however, this effect can be mitigated with a central obscuration.

Fig. 15

(a) EPEmax process windows for NEtch=22 to 26 bilayers, each pitch and orientation; each process window calculated with optimal D. (b) Aerial images through focus. Solid lines are contours at highlighted threshold values, and dotted lines are nominal edge positions after correcting for Δx*. Aerial images use (NEtch,D) with the largest process window at EPEspec=0.6  nm.

JM3_16_4_041012_f015.png

Fig. 16

(a) EPEmax process window for pwf=36  nm contacts, 0.33 NA, Netch=24 bilayers and (b) aerial image at best focus, contours at exposure thresholds.

JM3_16_4_041012_f016.png

Fig. 17

(a) EPEmax process window for pwf=22  nm contacts, 0.55 NA, Netch=26 bilayers. (b) Aerial image at best focus, contours at exposure thresholds.

JM3_16_4_041012_f017.png

6.3.

Contacts, NA = 0.33 Isomorphic

At an NEtch value that minimizes the zero-order amplitude (24 bilayers) and using an equal checkerboard design, the pwf=36  nm contact array pattern enjoys a similar extended depth of focus and wide exposure latitude as the optimized line–space pattern, as shown in Fig. 16. Furthermore, the nominal intensity threshold at 0.33 NA is 0.9, or 91% of the thin-mask transfer-matrix prediction, which is based on a 20-bilayer etch depth using the transfer-matrix reflection coefficients and the TMM to generate diffraction efficiencies.

6.4.

Contacts, NA = 0.55 Anamorphic

We can achieve a similar extended depth of focus and wide exposure latitude to print 22-nm contacts in the 0.55 NA anamorphic system, using a somewhat deeper etch depth (26 bilayers) again with the equal checkerboard design, as shown in Fig. 17. Furthermore, the nominal intensity threshold at 0.55 NA is 0.98, or 99% of the thin-mask transfer-matrix prediction. The increase in brightness from the 0.33 to the 0.55 NA system is due to the reduced shadowing. Indeed, a similar effect is observed with k10.3 shadowing-orientation lines and spaces, where the intensity at 0.55 NA is 15% higher than at 0.33 NA.

6.5.

Summary of Optimized Mask Designs

Tables 2 and 3 summarize printing results for the line-space and contact-array patterns. The first row in each table is calculated using the TMM, with reflection coefficients calculated using the transfer-matrix method with a 20-bilayer etch depth into 60 bilayers of multilayer. All other rows were calculated using FDTD in Panoramic Hyperlith software. Threshold refers to the intensity threshold at best printing conditions (minimum EPEmax); note that the threshold is based on normalizing the incident intensity on the mask to 1. Exposure latitude is calculated as the max minus min threshold as a fraction of nominal, at best focus. NEtch and D values for each feature maximize the process window area at the listed EPEspec.

Table 2

Line–space summary for concurrent optimization of threshold, exposure latitude, etch depth, and duty-cycle.

Modepwf (nm)OrientationNAEPEspecThresholdExposure latitude (%)NEtchD
TMM25Nonshadowing0.3310.625.1200.5
FDTD25Nonshadowing0.3310.6545.4230.5
FDTD25Shadowing0.3310.5245.3230.475
FDTD50Nonshadowing0.3310.6219.8210.5
FDTD50Shadowing0.3310.5917.6210.475
FDTD15Nonshadowing0.550.60.6837.6260.55
FDTD15Shadowing0.550.60.638.5220.475
FDTD30Nonshadowing0.550.60.6521.7230.5
FDTD30Shadowing0.550.60.614.8220.475

Table 3

Contact array summary for concurrent optimization of threshold, exposure latitude, and etch depth.

Modepwf (nm)NAEPEspecThresholdExposure latitudeNEtch
TMM360.331.40.9946.0%20
FDTD360.331.40.944.9%24
FDTD220.550.90.9843.2%26

Table 4

Exposure latitude calculated at best focus, and focus latitude calculated at 10% exposure error.

σThresholdExposure latitude (%)Focus latitude (nm)
0.050.6543.12000
0.10.6543.1450
0.150.6543.1340
0.20.639.2340
0.250.5635.2260
0.30.5230.7270

6.5.1.

Lines and spaces

All pitches and orientations are within 87% to 113% intensity of the reference thin-mask feature, with the brightest being the 0.55 NA 15-nm nonshadowing feature and the darkest being the 0.33 NA 25-nm shadowing feature. Shadowing features tend to be darker than nonshadowing features, with smaller mask pitches corresponding to darker images due to more severe shadowing. This could potentially cause problems overlapping the process windows of shadowing and nonshadowing features, unless measures such as SRAFs are used to equalize the intensities. All shadowing features ultimately received the same optimized unetched duty-cycle of D=0.475, meaning that the optimized etched trench is slightly wider than nominal for these features to compensate for shadowing. The nonshadowing features all retained the nominal value of D=0.5, except for the 0.33 NA 15-nm feature, which received D=0.55, meaning that a somewhat narrower trench than nominal can compensate for electromagnetic edge effects in this, the smallest mask pitch considered. The exposure latitude of all features with k10.3 is between 37.6% and 45.5%, while the exposure latitude for features with k10.6 drops to between 14.8% and 19.8%. This large drop can be accounted for both because the ±2 orders are in the pupil for the larger pitch and because the same EPEspec is used for all features at one NA instead of scaling the specification with the pitch. The narrowest exposure latitude is found in the k10.6 shadowing features, where the asymmetric ±1 and ±2 orders further degrade the image quality.

6.5.2.

Contacts

The contact array achieves 91% of the throughput gains predicted by the TMM at pwf=36  nm, 0.33 NA and fully 99% of the predicted gains at pwf=22  nm, 0.55 NA. The brighter image at 0.55 NA is due to the reduced shadowing, which is a result of the anamorphic design.

7.

Partial Coherence

Although partial coherence is often used to improve imaging performance and throughput, for phase-shift masks printing dense periodic patterns, deviations from coherent illumination tend to degrade imaging performance. This is due to reliance on frequency-doubling, which necessitates the precise annihilation of the zero order. This annihilation cannot be precisely achieved for all angles of illumination simultaneously; therefore, in this section we explore how much deviation from the ideal delta-function illumination is acceptable. Figure 18 shows the effect of increasing partial coherence σ on printing 25-nm lines and spaces in the nonshadowing orientation at 0.33 NA. Increasing σ reduces the intensity threshold, exposure latitude, and depth of focus. This process window degradation is due to several factors: first, for σ>0.18, diffraction orders get partially clipped by the outer edge of the pupil, which greatly reduces the process window; note that the exposure latitude is almost constant until after this point, suggesting that this is the dominant effect at best focus. The partial coherence effectively removes the infinite depth of focus of a fully coherent image due to the introduction of a continuous spectrum of radii in the pupil, which all change phase with depth at different rates. Other effects that degrade the process window as σ increases include different bulk phase-shifts in reflection between multilayer and air, different edge effects, and different dispersion within the unetched multilayer for different angles of illumination. It is not straightforward to untangle all of these effects; however, as shown in Table 4, combining all of these effects together with FDTD, at σ=0.2, the nominal intensity drops by only 8%, the exposure latitude is 39.2%, and the depth of focus at 10% exposure error is 340 nm. This illumination condition of σ=0.2 should be achievable since it corresponds roughly to the size of illumination poles commonly used on the ASML NXE:3400B,15 suggesting that partial coherence will not be the limiting factor in the feasibility of etched multilayer phase-shift masks for EUVL.

Fig. 18

(a) Process windows with increasing σ at two defocus ranges and (b) effect of σ on exposure window (at best focus) and depth of focus (at 10% exposure error). Based on FDTD simulation in Hyperlith of a pwf=25  nm line–space pattern, NA=0.33, NEtch=23 bilayers, D=0.5, nonshadowing orientation.

JM3_16_4_041012_f018.png

8.

Proposed Solutions

8.1.

Central Obscuration

A central obscuration has been included in many designs for high-NA EUV lithography systems.16 In our analysis, this will provide substantial benefits for printing dense line–space and contact array patterns with phase-shift masks. Indeed, even using a 0.33 NA system to print these patterns, modification to include a central obscuration would most likely be indispensable. The reason is that a central obscuration greatly relaxes the requirement to completely annihilate the zero order on the mask because it will be blocked in the pupil. This means that an infinite depth of focus can be achieved even with an imperfect etch depth, which not only reduces sensitivity to mask making errors but also may enable simultaneous printing of line–space features with different optimal etch depths (although the exposure windows would still need to be equalized by SRAFs or other means).

These benefits are visualized in Fig. 19, which shows how a central obscuration substantially improves the process window and reduces requirements on etch uniformity for pwf=36  nm, 0.33 NA contacts. Without the obscuration (left), variations in the etch depth significantly shift the best focus location, while incomplete suppression of the zero order leads to undesirable fluctuations through focus even in the best case. By blocking the unwanted zero-order light with a central obscuration (right), through-focus variations are completely removed and errors in the etch depth result in only a slight shift in intensity. The complete removal of through-focus variation in this case is due in part to the suppression of the (±1,0),(0,±1) orders on the mask by the perfectly even checkerboard design. On a real mask, errors in the dimensions of checkerboards may also introduce nontrivial (±1,0),(0,±1) orders. If necessary, these orders could also be blocked by a pupil filter that blocks all five of the (0,0),(±1,0),(0,±1) orders. This would require a custom pupil filter with obscurations at locations specific to the pattern on the mask, which, although introducing additional sources of complexity, has been demonstrated to work experimentally.17

Fig. 19

(a) EPEmax process windows without central obscuration and (b) EPEmax process windows with central obscuration. Based on FDTD simulation in Hyperlith of a pwf=36  nm contact array pattern, NA=0.33, NEtch=24 bilayers.

JM3_16_4_041012_f019.png

8.2.

Subresolution Assist Features

In this paper, we optimized the process windows for different features by modifying only NEtch and D on the mask. However, further improvements may be possible using SRAFs. These could take a variety of forms: either subresolution-etched features, which would preserve the low cost and complexity of a single mask writing step, or subresolution absorber features, which would be patterned during a second mask writing step. Either type of SRAF could be used to suppress the ±2 orders when printing larger pitches or to equalize the exposure process windows for different line–space pitches and orientations. Absorber SRAFs would allow for much more flexibility in etched phase-shift mask design by enabling modulation of the amplitude; however, these benefits must be weighed against the substantial increase in cost and complexity from a second mask-writing step. It will likely still be beneficial to include a central obscuration even after designing a mask with SRAFs, due to the reduced sensitivity to mask manufacturing errors and the larger depth of focus.

8.3.

Engineered Multilayer Mirror

Others18,19 have explored engineering broadband multilayer mirrors, which sacrifice some reflectivity in exchange for a more uniform angular response. A similar approach could be applied to engineer a multilayer mirror for use in etched phase-shift masks. The key to a good design would have a few components: first, the phase shift per nanometer should be as large as possible to minimize the total etch depth and hence minimize edge effects. Second, both the amplitude and phase of the angular response must be as uniform as possible for all angles within the mask-side NA, to minimize angular dispersion. Finally, the maximum reflectivity should be kept moderately high to maintain a high throughput; however, given the already substantial throughput gains of phase-shift masks, a slight decrease in reflectivity can likely be tolerated if the other two metrics can be substantially improved.

9.

Conclusion

We have explored many aspects of the feasibility of etched multilayer phase-shift masks for EUV lithography. The primary advantage that phase-shift masks can offer is increased throughput when printing dense features near the resolution limit compared with traditional absorber masks. We derived analytic formulas for these throughput gains using the TMM and calculated them to be 2.42× for lines and spaces and 5.86× for contacts. We quantified variations in these gains by pitch and orientation through rigorous FDTD simulations and found between 87% and 113% of the thin-mask prediction for lines and spaces and 91% to 99% for contacts. Furthermore, we found that, while larger partial coherence degrades the process window, an achievable15 σ of 0.2 resulted in a 340-nm depth of focus, a 39.2% exposure latitude, and only an 8% drop in intensity, suggesting that partial coherence will not be the limiting factor in the applicability of this technology.

To ensure printability of all patterns, we introduced a metric to account for the maximum uncorrectable EPE among all edges, EPEmax, which accounts for not only CD errors but also telecentricity errors and relative feature motion. We used this metric to both optimize mask designs for maximal process window area and to assess whether different features could feasibly be printed on the same mask. We found two major factors limiting what line–space pitches and orientations can be printed on a single mask: differences in the etch depth to achieve an extended depth of focus and differences in exposure windows. The first problem can be mitigated using a central obscuration to block the zero order, which allows for features to be printed with an extended depth of focus even with a nonoptimal etch depth; the second problem remains to be addressed, but one possible solution may be to use SRAFs to equalize the exposure windows.

Many questions remain unanswered, and future directions of work may include exploring whether SRAFs can improve patterning with etched multilayer phase-shift masks, determining the impact of more complicated pupil filters than a central obscuration, and optimizing the multilayer stack for this application.

Acknowledgments

This research was sponsored by C-DEN (Center for Design-Enable Nanofabrication). Member companies are ARM, ASML, Cadence, Carl Zeiss Group, Intel, KLA-Tencor, Mentor Graphics, and Qualcomm. This work was performed in part at Lawrence Berkeley National Laboratory, which is operated under the auspices of the Director, Office of Science, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.

References

1. 

K. K. Toh et al., “Chromeless phase-shifted masks: a new approach to phase-shifting masks,” Proc. SPIE, 1496 27 (1991). http://dx.doi.org/10.1117/12.29750 PSISDG 0277-786X Google Scholar

2. 

H. J. Levinson, Principles of Lithography, 146 SPIE Press, Bellingham, Washington (2005). Google Scholar

3. 

K. Adam and A. R. Neureuther, “Domain decomposition methods for the rapid electromagnetic simulation of photomask scattering,” J. Micro/Nanolith. MEMS MOEMS, 1 (3), 253 –269 (2002). http://dx.doi.org/10.1117/1.1506178 Google Scholar

4. 

A. Erdmann et al., “Topography effects and wave aberrations in advanced PSM-technology,” Proc. SPIE, 4346 345 (2001). http://dx.doi.org/10.1117/12.435734 PSISDG 0277-786X Google Scholar

5. 

B. Gleason and W.-H. Cheng, “Optical properties of alternating phase-shifting masks,” Proc. SPIE, 6349 63491B (2006). http://dx.doi.org/10.1117/12.686147 PSISDG 0277-786X Google Scholar

6. 

P. P. Naulleau et al., “Fabrication of high-efficiency multilayer-coated gratings for the EUV regime using e-beam patterned substrates,” Opt. Commun., 229 (1), 109 –116 (2004). http://dx.doi.org/10.1016/j.optcom.2003.11.005 OPCOB8 0030-4018 Google Scholar

7. 

S.-I. Han et al., “Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror,” Proc. SPIE, 5037 314 (2003). http://dx.doi.org/10.1117/12.484731 PSISDG 0277-786X Google Scholar

8. 

P. Naulleau et al., “Ultrahigh efficiency EUV contact-hole printing with chromeless phase shift mask,” Proc. SPIE, 9984 99840P (2016). http://dx.doi.org/10.1117/12.2243321 PSISDG 0277-786X Google Scholar

9. 

C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, San Francisco (2008). Google Scholar

10. 

B. W. Smith, Optics for Photolithography, Marcel Dekker, New York (1998). Google Scholar

11. 

B. L. Henke, E. M. Gullikson and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at e = 50-30, 000 ev, z = 1-92,” At. Data Nucl. Data Tables, 54 (2), 181 –342 (1993). http://dx.doi.org/10.1006/adnd.1993.1013 ADNDAT 0092-640X Google Scholar

12. 

E. Hecht, Optics, Pearson Education, Addison-Wesley, San Francisco (2002). Google Scholar

13. 

J. T. Neumann et al., “Imaging performance of EUV lithography optics configuration for sub 9 nm resolution,” Proc. SPIE, 9422 94221H (2015). http://dx.doi.org/10.1117/12.2175658 PSISDG 0277-786X Google Scholar

14. 

K. van Ingen Schenau et al., “Imaging performance of the EUV high NA anamorphic system,” Proc. SPIE, 9661 96610S (2015). http://dx.doi.org/10.1117/12.2195476 PSISDG 0277-786X Google Scholar

15. 

A. Pirati et al., “EUV lithography performance for manufacturing: status and outlook,” Proc. SPIE, 9776 97760A (2016). http://dx.doi.org/10.1117/12.2220423 PSISDG 0277-786X Google Scholar

16. 

J. T. Neumann et al., “Interactions of 3d mask effects and NA in EUV lithography,” Proc. SPIE, 8522 852211 (2012). http://dx.doi.org/10.1117/12.2009117 PSISDG 0277-786X Google Scholar

17. 

G. McIntyre et al., “Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted illumination angular distribution,” Proc. SPIE, 8679 86792N (2013). http://dx.doi.org/10.1117/12.2011573 PSISDG 0277-786X Google Scholar

18. 

O. Wood et al., “Improved Ru/Si multilayer reflective coatings for advanced extreme ultraviolet lithography photomasks,” Proc. SPIE, 9776 977619 (2016). http://dx.doi.org/10.1117/12.2219215 PSISDG 0277-786X Google Scholar

19. 

T. Kuhlmann et al., “Design and fabrication of broadband EUV multilayer mirrors,” Proc. SPIE, 4688 509 (2002). http://dx.doi.org/10.1117/12.472327 PSISDG 0277-786X Google Scholar

Biography

Stuart Sherwin received his BA degree in physics and applied mathematics from UC Berkeley in 2013. He then worked at KLA-Tencor on the Reflective Electron Beam Lithography and 5D Process Control teams before returning to academia in 2016. He is currently enrolled in the UC Berkeley Department of Electrical Engineering and Computer Science PhD program, where he pursues research into the fields of EUV lithography and computational imaging.

Andrew R. Neureuther received his PhD in the Antenna Lab. In EE from the University of Illinois, Urbana, in 1966, became an EECS Faculty member at UC Berkeley, and retired in 2007. His work in optical, electron-beam, EUV and x-ray lithography came about through working at IBM Research in 1972. His awards include National Academy of Engineering 1995, 2003 IEEE Cledo Brunetti Award, SIA Award 2007, 2011 SPIE Zernike Award, and SPIE Conference Awards.

Patrick Naulleau received BS and MS degrees in electrical engineering from Rochester Institute of Technology, Rochester, New York and PhD in electrical engineering from the University of Michigan, Ann Arbor in 1997. He then joined Berkeley Lab working in EUV lithography and metrology. In April 2010, he became director of the Center for X-ray Optics at Berkeley Lab. He has over 300 publications and 19 patents and is a fellow of OSA and SPIE.

© 2017 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2017/$25.00 © 2017 SPIE
Stuart Sherwin, Andrew Neureuther, and Patrick Naulleau "Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks," Journal of Micro/Nanolithography, MEMS, and MOEMS 16(4), 041012 (9 December 2017). https://doi.org/10.1117/1.JMM.16.4.041012
Received: 30 March 2017; Accepted: 6 November 2017; Published: 9 December 2017
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Etching

Extreme ultraviolet

Finite-difference time-domain method

Diffraction

Printing

Reflectivity


CHORUS Article. This article was made freely available starting 09 December 2018

Back to Top