Open Access
9 November 2019 Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters
Author Affiliations +
Abstract

Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography.

Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material.

Approach: A hybrid cluster that combines the high EUV photon absorption cross-sections of zinc and fluorine with the reactivity of methacrylate organic ligands was synthesized. The structural modifications upon thin film formation and after aging in air, nitrogen, and vacuum were studied using a combination of spectroscopic techniques. Preliminary studies on the lithographic performance of this material were performed by EUV interference lithography.

Results: The Zn-based compound undergoes structural rearrangements upon thin film deposition as compared to the bulk material. The thin films degrade in air over 24 h, yet they are found to be stable for the duration and conditions of the lithography process and show high sensitivity.

Conclusions: The easy dissociation of the ligands might facilitate hydrolysis and rearrangements after spin-coating, which could affect the reproducibility of EUV lithography.

1.

Introduction

Photoresists materials are crucial for the semiconductor industry since they mediate the transfer of information from an optically projected pattern to a substrate where the actual circuitry of processor chips and memory devices are built.1,2 To keep following Moore’s law, which requires the fabrication of patterns with ever smaller critical dimensions, EUV lithography, using radiation of 13.5 nm wavelength, is seen as the most suitable successor to the state-of-the-art ArF photolithography (193 nm).3,4 However, this transition has led to critical challenges for the development of suitable EUV photoresists.59 For adequate lithography performance, resists should simultaneously satisfy the industrial requirements of resolution (<10  nm) and line-width roughness (LWR<15%) at sustainable production costs. The latter point thus demands that the photoresists have high sensitivity and can yield nanopatterns at doses as low as 20  mJ/cm2 or below.2,1012 Although the standard platform for resist materials has been polymer-based chemically amplified resists, EUV lithography technology requires the development of entirely new resist platforms.2,1315 As future nodes are continuously decreasing, the size of polymers traditionally used in photoresists has become a critical point especially with regard to linewidth roughness.16 Furthermore, increasing EUV light absorption by the resist material is now one of the most important design criteria toward optimizing resist performance.17 These performance targets require research on new materials that incorporate elements with high EUV photon absorption cross-sections and, at the same time, are composed of small units consistent with future resolutions targets.13,1820

Metal oxoclusters are hybrid molecular compounds10,21 and hold great potential as resist platforms for EUV lithography applications. By choosing the right metal-component, they can meet the desired properties for EUV photon absorption while offering intrinsically small and homogeneous size (monodisperse) due to their molecular nature.10,13,22 In the present contribution, the elucidation of a simple and versatile preparation method of a new Zn-based metal oxocluster, as well as the stability and sensitivity of the resulting material toward EUV radiation are explored. Recently, molecular materials based on Zn have been investigated and have shown promising results.19,23,24 In our approach, a denser Zn metallic oxo core is used as the inorganic building block in order to enhance the EUV absorbance of the material. The organic ligands surrounding the inorganic EUV-absorbing core are envisioned as the main factor defining the solubility properties.21 In addition, the organic ligands play a major role in the reactivity of the material. The organic shell is thus responsible for the switching of the solubility properties of the material upon EUV exposure, which enables patterning.25

In this study, we focus on trifluoroacetate (TFA) and methacrylate (MA) ligands as components of the organic shell around a tetrameric Zn oxo core. The presence of fluorine in the resist material is known to increase the EUV photon absorption.26,27 On the other hand, the introduction of MA ligands having a terminal double bond is anticipated to provide an effective solubility switch arising from the cross-linking of these double bonds.10,28 The shelf life of Zn-based oxoclusters featuring simultaneously both types of ligands, MA and TFA, and its response toward EUV high energy radiation was investigated. Developers that have specific interactions with this type of hybrid materials were explored to improve the contrast of the material. These investigations highlight the importance of understanding the chemistry of the resist at the molecular level to optimize their performance.

2.

Materials and Methods

2.1.

Materials

All chemicals [Zn4O(TFA)6 precursor, CAS 1299489-47-6; methacrylic acid (MAA), CAS 79-41-4] were purchased from Sigma-Aldrich and were used without further purification. All the used solvents were reagent grade.

2.2.

Synthesis of Photoresist

MAA (12.0 eq, 0.11 g) and Zn4O(TFA)6 (1.0 eq, 0.1 g) were dissolved in chloroform (10 to 15 ml) and left stirring for 2.5 h at 40°C. The solvent was then evaporated and the oily residue was precipitated by washing with toluene (5 to 10 ml). The process was repeated for five to six times to remove excess MAA in the reaction mixture and obtain a solid white compound (Fig. 1).

Fig. 1

Scheme of the Zn(MA)(TFA) synthesis by ligand exchange reaction. In the three-dimensional representations, gray tetrahedra represent the coordination geometry of the Zn2+ atoms (gray spheres in the center), red spheres represent oxygen atoms, green spheres represent fluorine atoms, and gray sticks are chemical bonds. Lewis formula below gives details on the ligands’ structure.

JM3_18_4_043504_f001.png

H1 NMR (300 MHz, DMSO-d6) δ: 1.83 (3H, CH3), 5.34 (1H, CH2), 5.83 (1H, CH2) ppm

C13 NMR (300 MHz, DMSO-d6) δ: 19.36 (CH3), 115.04 (s, CF3), 122.02 (CH2), 139.93 (C), 158 (s, COO, TFA), 173.00 (COO, MA) ppm

F19 NMR (300 MHz, DMSO-d6) δ: 73.94 (CF3) ppm

FTIR: 523 (w, νas Zn-O-Zn), 628 (s, COO angle bending), 1205 to 1155 (m, ν C-F), 1238 (m, coupled rocking CH2 and υ CC), 1300 to 1500 (s, coupled CHx deformations and νs COO), 1543 (s, νas COO, bonded acid MA), 1606 (m, COO H-bonded MA), 1653 (s, νas CC), 1687 (s, νas COO bonded TFA), 1735 (w, νas COOH nonbonded TFA), 2929 to 2987 (w, ν CH3), 3022 and 3105 (s, ν CH2), 3200 to 3600 (b, νs OH) cm1.

2.3.

Characterization of the Bulk Material

NMR was recorded using a Bruker AV-400 NMR spectrometer using deuterated dimethylsulfoxide (DMSO-d6) as a solvent. FTIR was performed using a Bruker ALPHA FTIR spectrometer. Mass spectra were collected on an AccuTOF LC, JMS-T100LP mass spectrometer (JEOL). Thermogravimetric analysis (TGA) was performed using a NETZSCH thermogravimetric analyzer in an Al2O3 crucible and heating was performed from 35°C to 700°C at 10  K/min in an N2/O2 atmosphere. Elemental analysis was performed by Mikroanalytisches Labor Kolbe, Germany for elements Zn, carbon (C), and fluorine (F) in the synthesized compound Zn(MA)(TFA).

2.4.

Thin Film Preparation

Zn(MA)(TFA) resist solution 2% (w/v) was prepared in chloroform (CHCl3), and propylene glycol methyl ether acetate (PGMEA), 9:1 v/v followed by filtration using a 0.22-μm PTFE filter after sonication for 4 min. All thin films for analysis and lithography were prepared by spin-coating the resist solution at 2100 rpm, 3000  rpm/s for 30 s. Samples for UV–vis absorption spectroscopy were spin coated on quartz substrates of 525  μm thickness, and samples for FTIR spectroscopy were spin coated on a double-side polished Si-wafer of 200  μm thickness. The thickness of the resist thin films spin coated on silicon and quartz substrates was in the range of 25 to 35 nm, as measured by atomic force microscopy (AFM). Postapplication baking was applied 90°C/30  s to remove excess of residual solvent.

Sample preparation for the EUV absorption coefficient measurement was done using silicon nitride (SiNx) membranes purchased from Norcada Inc. as substrates (100 nm thickness, membrane window of 7.5×7.5  mm2). A thin film of resist was deposited on the membrane by spin coating following the same parameters as for Si substrates for lithography experiments. The thickness measured by ellipsometry was 43.3±1.4  nm.

2.5.

Characterization of Thin Films

UV–vis absorption spectroscopy was performed using a Shimadzu UV2600 spectrophotometer, and FTIR spectroscopy of the thin films was performed in transmission mode under vacuum in a Bruker Vertex 80v spectrometer. Thickness of the thin film spin coated on SiNx was measured by using J.A. Woollam- VB-400 VASE Ellipsometer. The spectral range used was 250 to 1000 nm. The optical constants of the resist material were first measured independently on a sample of known thickness deposited on a Si substrate.

2.6.

EUV Exposure and Postexposure Analysis

Open frame exposures were performed for a wide range of doses by exposing 1.7×1.7  mm2 (pinhole 70μm) areas to EUV light at 13.5 nm. These exposures were performed at the SLS XIL-II beamline in the Paul Scherrer Institute (PSI), Switzerland.29 The detail of the experimental set-up at XIL-II beamline for absorption coefficient measurement has been described in previous studies.30,31 A pinhole of 30-μm diameter and square open frame mask of 0.5×0.5  mm2 were used in this measurement. The absorption of EUV light from SiNx membrane was calibrated by measuring the photocurrent passing through a clean SiNx membrane as a reference photocurrent. The transmittance of the resist materials is given by the ratio of measured photocurrent of the resist and the reference photocurrent.

Propionic acid, acetylacetone (acac), and acetic acid diluted in CHCl3 were used as developers. Thin film thickness was measured by AFM, using a Bruker Dimensions Icon. For patterning line-space (L/S) patterns, a transmission mask was used. High-resolved SEM images were recorded using FEI Verios 460 system.

3.

Results and Discussions

3.1.

Determination of the Organic Shell Composition

The Zn oxocluster [Zn(MA)(TFA)] was synthesized by ligand exchange method from the commercially available oxo[hexa(trifluoroacetato)]tetrazinc Zn4O(TFA)6 (Fig. 1). The starting material comprises a core of four Zn atoms bridged by one O atom (μ4-O) and six TFA ligands that bridge two Zn atoms through the carboxylate group.32,33 Since this reaction proceeds in equilibrium due to competitive binding of the two types of carboxylate ligands, MAA is added in excess to favor the shift of the equilibrium to the right side of the chemical equation and have a high abundance of MA ligands in the shell of the synthesized product Zn(MA)(TFA).

NMR and FTIR spectroscopic analysis performed on the synthesized bulk oxocluster evidenced the presence of both TFA and MA ligands (see Sec. 2.2). To confirm whether the tetrameric oxocluster is preserved during ligand exchange, mass spectrometry experiments were performed. This is an ideal technique since Zn metal has different naturally occurring isotopes, which provides the mass spectrum with a unique characteristic isotopic pattern distribution arising from the four Zn atoms in the oxocluster. The spectra obtained using different solvents for the vaporization step are shown in Fig. 2.

Fig. 2

Experimental (black) and simulated (blue) mass spectra of Zn(MA)(TFA) oxocluster from (a) acetonitrile solution and acetonitrile-d6 solution (inset) and (b) methanol solution.

JM3_18_4_043504_f002.png

The isotopic distribution observed in the mass spectra was in concordance with the expected one for a tetrameric Zn oxocluster (Zn4O). Two peak envelopes in the mass regions m/z 778 to 790 and m/z 806 to 818 were detected, which matched the mass of a Zn4O cluster with five MA ligands and the Zn4O cluster with four MA and one TFA ligands complexed with two acetonitrile molecules, [Zn(MA)5+2CH3CN]+ and [Zn(MA)4(TFA)+2CH3CN]+, respectively [Fig. 2(a)]. Such species would result from the loss of a trifluoroacetate or a methacrylate ligand in the Zn(MA)(TFA) material, as shown in Fig. 2. The complexation of acetonitrile to the cluster was confirmed by recording mass in deuterated acetonitrile-d3, which shifted the envelope of peaks with the specific isotopic distribution by +6 m/z units [inset in Fig. 2(a)]. Furthermore, when the spectrum was recorded using methanol as the carrying solvent, the molecular peak was observed at 702 m/z, corresponding to [Zn(MA)5]+ [Fig. 2(b)]. These assigned peaks in the mass spectra indicate that in the synthesized Zn(MA)(TFA), MA is the most abundant ligand in the cluster. It can therefore be concluded that upon ligand exchange reaction most of the TFA ligands in the shell of the precursor cluster were substituted by MA ligands while keeping the Zn oxo core unaltered.

The composition of the organic shell (TFA/MA ratio) was further defined by elemental analysis. The results (experimental % Zn: 23.86, % C: 37.24, and % F: 7.29) were assigned to the chemical formula [Zn4O18C31H37.5F4.5] (theoretical % Zn 25.03, % C 35.61, and % F 8.19), which would correspond to an average of 7 MA and 1.5 TFA ligands per tetrameric cluster. This assignment indicates the presence of an excess of nonbonded carboxylic acids in the bulk material, in agreement with FTIR. The occlusion of carboxylic acids in the crystals formed by metal oxoclusters is a common phenomenon.32,34,35

The residue detected experimentally in TGA was 32% (Fig. 3), in good agreement to the calculated ZnO residue that results from the combustion of compound with molecular formula Zn4O18C31H37.5F4.5 (31%).

Fig. 3

TGA of Zn(MA)(TFA) powder sample (bulk material).

JM3_18_4_043504_f003.png

3.2.

Stability Studies

Chemical bonds between carboxylate and metal cations are rather labile, i.e., the metal–ligand bond can dissociate at lower energy, especially in the presence of chemical species that can compete in the coordination of the metal, such as water.36 Therefore, it is crucial to study the stability of the newly synthesized Zn-cluster both in the bulk material and when deposited as a thin film for the actual nanolithographic application. For this purpose, the chemical composition of the bulk material and the thin films was monitored under different atmospheres by spectroscopic means.

The stability of the bulk material was studied by FTIR spectroscopy (Fig. 4). A significant decrease in the intensity of O-H band (broad band 3750 to 2800  cm1) and of the peak assigned to the υas of nonbonded TFA (1725  cm1) was observed in the normalized FTIR spectra after 2 months storage in low moisture conditions. These indicate the loss of free trifluoroacetic acid over time. The broadening of the COO stretching band at 1605  cm1 also suggests changes in the environment of carboxylates over this period of time. Such changes together with the decrease of the peaks in the 500 to 750  cm1 region, where bending modes of the COO are expected, suggest that some bonded ligands might be lost and/or change their coordination geometry. This might result from partial hydrolysis and/or rearrangement of the organic shell. Yet, the presence of the characteristic Zn-O-Zn stretching band of the tetrameric oxo core37 indicates that the degradation was only partial.

Fig. 4

(a) Normalized FTIR spectra of freshly synthesized oxocluster Zn(MA)(TFA) and after 2 months and (b) zoom of spectral region from 1780 to 400  cm1.

JM3_18_4_043504_f004.png

In addition to the stability of the bulk material, it was crucial to investigate the molecular structure of the oxoclusters when deposited as a thin film and the effect of different atmospheres present in the lithographic process. The stability of the thin film was monitored at ambient conditions in air, nitrogen atmosphere (glovebox), and high vacuum (<106  mbar). The latter is highly relevant since EUV exposure is performed under high vacuum (<106  mbar), which may act as a driving force for ligands loss and undesired aggregation of the inorganic clusters.28,38 After exposing the thin films to different conditions, the thin films were analyzed by UV–vis absorption and FTIR spectroscopy.

First, the composition of the thin film right after deposition by spin-coating was investigated (Fig. 5). The FTIR absorption spectrum of Zn(MA)(TFA) deposited as a thin film shows that the extra carboxylic acids initially present in the bulk crystalline material are lost during spin-coating since the bands at 1725  cm1 (assigned to the COOH asymmetric stretching of the nonbonded TFA) and at 1606  cm1 (tentatively assigned COOH of MAA bonded to the Zn cluster in a weak manner39) were not observed in the thin film FTIR spectrum. The presence of bonded MA was evidenced by the peak at 1543  cm1 (COO bidentate asymmetric stretching), the envelope at 1500 to 1300  cm1 (various chelating and bridging COO stretching modes coupled to asymmetric and symmetric CHx deformations modes), and the vibrational modes corresponding to νs CC at 1653  cm1 (observed as a shoulder) and the peak at 1238  cm1 corresponding to coupled rocking CH2 and υ CC.38 The presence of coordinated TFA was indicated by the intense peak 1675  cm1 (COO asymmetric stretching’s of bonded TFA, which shifts compared to the powder sample, 1687  cm1) and the characteristic C-F stretching’s at 1155 and 1205  cm1.

Fig. 5

Normalized FTIR absorption spectra of Zn(MA)(TFA) as powder and deposited as thin film.

JM3_18_4_043504_f005.png

The stability of Zn(MA)(TFA) oxocluster thin films can readily be monitored by UV–vis spectroscopy. The π-π* electronic transition of the terminal methylene of the MA ligand provides a characteristic signal at 198  nm, which can be used to monitor changes in the thin film. The UV–vis spectrum of the freshly spin coated thin film was compared to the spectra for same thin film after certain intervals in air atmosphere [Fig. 6(a)]. The spin coated thin film was stable for at least 4.5 h while bleaching was clearly observed after 24 h. Similarly, the stability was monitored after applying high vacuum (<106  mbar) for 1 h, resulting in a slight decrease in the absorption band and suggesting that vacuum did not induce a considerable loss of ligands. Another measurement was performed after leaving the latter sample for 3.5 h at room temperature, which showed negligible change in the absorption band during this period of time [Fig. 6(b)].

Fig. 6

Monitoring stability of thin film of Zn(MA)(TFA) photoresist by (a), (b) UV–vis absorption spectra and (c), (d) FTIR absorption spectra.

JM3_18_4_043504_f006.png

In order to further investigate specific changes in the organic ligands, FTIR of the thin films was recorded. For this purpose, spectra of the freshly prepared sample and of samples after 24 h in different atmospheres were recorded. By comparing the normalized absorption spectra [Fig. 6(c)], a concomitant slight decrease in the intensities of the peaks at 1421  cm1 (for CH2 deformations combined with COO symmetric stretching’s) and of the small shoulder at 1238  cm1 (due to rocking of vinylic methylene group CH2 and CC stretching of neighboring groups)38 is observed for the sample kept in N2. Yet, a more dramatic decrease of these bands and broadening of the peaks at 1675 and 1543  cm1 was detected for the sample kept at room conditions, accompanied by a relative increase in the broad band at 3100 to 3600  cm1, typical for O-H stretching [Fig. 6(d)].

These experiments suggest that moisture in the air can lead to a partial hydrolysis of Zn(MA)(TFA) cluster. Also, polymerization of the terminal double bond could also be favored by the natural light available at room conditions and in N2 atmosphere. FTIR spectra of the sample before and after high vacuum (1 h) showed more modest changes. The ratio between the peak associated to the CH2 rocking in MA (1238  cm1) and the peaks assigned to C-F stretching modes in TFA (12051155  cm1) decreased slightly. Also the intensity of the envelope in the 15001300  cm1 related to MA was lower. In parallel, a slight decrease in the absorption band at 198 nm was observed after 1 h of vacuum. These spectroscopic signs could indicate a small degree of MA ligand loss or cross-linking of the terminal double bonds in the MA ligands. [Fig. 6(d)]. Subsequent monitoring of the same sample after 1 h at room conditions was in agreement with the previous UV–vis spectroscopy studies and indicated that no significant hydrolysis occurred within this time frame.

In light of the spectroscopic changes, the storage of the thin films in different atmospheres for long periods of time could have an important effect on the patterning capabilities of the resist. Such studies were out of the scope of this preliminary work and here we focused on working in time scales that guaranteed the integrity of the material. Nevertheless, it should be noticed that evolution of the thin film in vacuum could have an effect on the lithographic performance if there are idle times before exposure. Such effect could be affecting similar inorganic resists and might be subject of our studies in the future.

3.3.

Sensitivity Toward EUV Light and Lithographic Performance

%Transmittance (Tx) of the resist was measured experimentally by using synchrotron EUV light at the Paul Scherrer Institute. The linear absorption coefficient, α, for Zn(MA)(TFA) was calculated after determining the thin film thickness by ellipsometry as per Beer–Lambert law as

vTx=eαd.

An α value of 12.4±0.4  μm1 was found for a thin film of Zn(MA)(TFA). This is close to the reported values for tin-based resists, where the α ranges from 15 and 19  μm1, whereas for organic photoresists is typically 4.8  μm1.17,30,31

As a reference value, the theoretical linear absorptivity was calculated for this material. To do so, we considered that the material was consisting of Zn-tetrameric clusters with 5 MA and 1 TFA ligands (molecular formula C22H25O13Zn4F3), and we approximated its density (2.4  g/cm3) assuming that the molecular packing was the same as for an analogous tetrameric cluster consisting of six acetate ligands, Zn4(OAc)6 (1.9  g/cm3)40 and correcting for the different molecular weights (ρ1/MW1=ρ2/MW2). The obtained α value using these assumptions was 14.6  μm1.

In addition to photon absorption and the chemistry triggered by EUV photons, the interaction of the developer with the unexposed and exposed resist largely defines the contrast (γ) of the lithographic process. Therefore, choosing the right developer is crucial to obtain good γ values and understanding the molecular structure of thin films can greatly assist in the choice. Our first attempt was to use CHCl3 as a developer, which is also used as solvent for cluster synthesis and thin film deposition. However, this solvent could not redissolve the thin film resulting from the spin-coating of the material on the silicon substrate. This is further evidence that upon thin film formation, some changes occur in the material compared to its structure when it is isolated as a crystal (most likely loss of excess of nonbonded acids, as seen in FTIR, and potentially other structural rearrangements) such that the solubility in CHCl3 decreases.

Thus, contrast curves were obtained for Zn(MA)(TFA) photoresist by using different developers that could interact more strongly with the Zn-clusters than pure CHCl3 and that could supplement the loss of the nonbonded acids during the thin film deposition or even compete with the existing carboxylate ligands. Diluted solutions (0.05%) of propionic acid, acetylacetate (acac), and acetic acid in CHCl3 were tested. The resists behaved as a negative tone resist in all cases and the three contrast curves in Fig. 7 show low onset values, D0, revealing the high sensitivity of Zn(MA)(TFA) toward EUV photons. Yet, different profiles in the contrast curves were obtained for each developer. The dose to retain most of the film thickness, D100, varied significantly as a function of the developer. This behavior results in different contrast values (γ) for each developer, which is typically defined by the slope of the contrast curve and here is approximated with a linear fitting of the slope (dashed line in Fig. 7).

Fig. 7

Contrast curves of EUV exposed Zn(MA)(TFA). (a) Developed with a diluted solution of different organic chelating agents without PEB; (b) after PEB at 100°C and 180°C. Dashed lines in the graphs represent the linear fitting of the curve slope.

JM3_18_4_043504_f007.png

We attribute the differences among developers to distinct interactions between the chelating agents and the cluster. For instance, acetic acid and propionic acid can coordinate with the Zn cation in the cluster through a different binding mode compared to acac due to the different geometry of the binding sites. Diluted acetic acid seems to assist in the dissolution of the material better than diluted propionic acid, but it also interacted with the material on the exposed area, causing its partial dissolution. Thus, the contrast for dilute acetic acid as developer was quite low (γacetic=0.45), whereas for propionic acid was considerably higher (γpropionic=2.95), although more points at lower doses were needed to give a more accurate contrast value (see below). Further, acac, which is a relatively strong chelating agent, did not yield a good contrast (γacac=0.63) and gave signs of dissolution of the exposed part, as in the case of acetic acid. Among the tested developers, diluted propionic acid was thus considered a better developer rendering higher γ and hence was used for all the further lithography experiments.

The effect of postexposure bake (PEB) at 100°C/30  s and 180°C/30  s was also studied. According to the TGA of the powder samples, the first temperature should not yield any loss of species from the film, whereas at the latter temperature only some desorption of nonbonded trifluoroacetic molecules was expected in the bulk material. Yet, FTIR spectra indicate that these nonbonded acids are not present in the thin film since they are lost during deposition. However, the contrast decreased upon application of PEB at 100°C (γPEB100=0.92) as compared to the nonthermally treated material (γpropionic=2.95) and was practically lost at higher PEB temperature of 180°C [Fig. 7(b)]. Further spectroscopic analyses to identify the structural changes induced at this temperature need to be performed in order to identify the process induced by the heating but such investigations are outside the scope of the present work.

The lithography performance of Zn(MA)(TFA) was preliminary tested by patterning L/S features using EUV interference lithography. In Fig. 8, selected patterns obtained for 40 and 30 nm half-pitch (HP) with two batches of the material synthesized by following same procedure are shown.

Fig. 8

L/S patterns of (a), (b) 40 nm and (c), (d) 30 nm HP for two batches of synthesized resist.

JM3_18_4_043504_f008.png

We observed that the material shows relatively good lithographic performance yet the relation between feature size and dose in the printed patterns differed from batch to batch [Fig. 8]. In these preliminary tests, well defined lines were observed at 37  mJ/cm2 for batch 1. However, their linewidths were below the intended 30 and 40 nm HP values. In contrast, batch 2 yielded wider lines at lower doses for the same intended HP values, thus indicating over exposure.

The reproducibility of the contrast curve for these two batches was also studied. Given that the Zn(MA)(TFA) oxocluster had shown high sensitivity in our first tests [Fig. 7(a)], new contrast curves using propionic acid as developer were recorded using smaller dose steps for the lower dose range [Fig. 9(a)]. These new experiments showed that the curves seemed to present some kind of two-step process for both batches, although the origin of this behavior is still not understood. We estimated the contrast values for the steeper part of the two-step slope [dashed lines in Fig. 9(a)].

Fig. 9

(a) Contrast curves of EUV exposed Zn(MA)(TFA) from two different batches (dashed lines in the graphs represent the linear fitting of slope). (b) FTIR spectra of the two batches (bulk powder).

JM3_18_4_043504_f009.png

As in the case of the L/S lithographic experiments, the two batches did not yield identical behavior. Both the contrast [see Fig. 9(a)] and the D50 values (dose to retain half of the thickness) were different for each batch (7.5  mJ/cm2 for batch 1 and 11.3  mJ/cm2 for batch 2). Yet, no correlation between the feature size versus dose and contrast can be concluded at present.

Although the two batches were synthesized following the same procedure and the FTIR spectra of the bulk materials look almost identical, some small discrepancies can be spotted [Fig. 9(b)]. A relevant one is the ratio between the peaks at 1653  cm1 (CC in MA ligand) and 1687  cm1 (COO in TFA), which could indicate different ratios of the two types of ligands in the two batches. This different composition of the organic shells could be a source of variation in the printability of the two batches. In addition, we suspect that the dynamic character of the cluster–ligand bonds could introduce further changes in the material during the deposition step. This could result in slight differences in the molecular structure of the thin films, such as further variations in the MA/TFA ratio or different extents of ligand loss/hydrolysis.

We would like to point here that all the mentioned doses are calculated using a tool factor determined by cross-calibration with other resist materials. Therefore, the dose values mentioned here are particularly specific to the calculated EUV-IL tool factor for the specific mask and pin-hole combination and might differ when using a different EUV exposure tool.41

4.

Conclusions

The Zn-based oxoclusters featuring methacrylate and trifluoroacteate ligands, Zn(MA)(TFA), possess small size, good film forming capability, and high sensitivity toward EUV photons. Yet, deposition of the material as thin films changes the solubility properties compared to the bulk material (crystalline powder), presumably due to the loss of extra nonbonded acids that are occluded in the original crystalline forms and/or rearrangements of the carboxylate ligands. Our study on the stability of these clusters using spectroscopic techniques indicates that these oxoclusters having labile ligands are susceptible to undergo structural changes in 2 months’ scale when stored as crystalline powder whereas when deposited as thin film it undergoes polymerization and/or hydrolysis in a timescale of hours at room conditions. Nevertheless, the thin films are stable in air as well as in vacuum long enough to perform the intact lithography application and processing (up to 4.5 h). These results provide insights into the stability of such resist systems, which is an important aspect for the development of new hybrid photoresists. The Zn(MA)(TFA) photoresist displays appreciable sensitivity toward EUV radiation albeit with potentially significant variations from batch to batch. This study highlights that inorganic resists are susceptible to undergo structural changes that should be controlled in order to attain the reproducibility of the lithographic performance. Further studies on the solubility switch mechanism and the optimization of the lithography patterning are ongoing.

Acknowledgments

We thank Marco Wemekamp for his contribution in the resist synthesis experiments. We acknowledge the Paul Scherrer Institute, Villigen, Switzerland, for the provision of beamtime at beamline XIL-II of the SLS (20180993). The research leading to these results has received funding from the European Community’s Seventh Framework Programme (FP7/2007-2013) under Grant Agreement No. 312284 (CALIPSO, 20180993). This work has been published as an proceeding paper [N. Thakur, M. Vockenhuber, Y. Ekinci, S. Castellanos, Extreme Ultraviolet (EUV) Lithography X, Vol. 10957, 109570D (2019) SPIE].

References

1. 

C. Mack, “Fundamental principles of optical lithography: the science of microfabrication,” John Wiley & Sons, West Sussex, England (2008). Google Scholar

2. 

H. Xu et al., “EUV photolithography: resist progress in metal-organic complex photoresists,” J. Micro/Nanolithogr. MEMS, MOEMS, 18 011007 (2018). https://doi.org/10.1117/1.JMM.18.1.011007 Google Scholar

3. 

B. J. Lin, “The ending of optical lithography and the prospects of its successors,” Microelectron. Eng., 83 604 –613 (2006). https://doi.org/10.1016/j.mee.2005.12.017 MIENEF 0167-9317 Google Scholar

4. 

B. J. Lin, “Successors of ArF water-immersion lithography: EUV lithography, multi-e-beam maskless lithography, or nanoimprint?,” J. Micro/Nanolithogr. MEMS, MOEMS, 7 040101 (2008). https://doi.org/10.1117/1.3062205 Google Scholar

5. 

P. P. Naulleau et al., “Critical challenges for EUV resist materials,” Proc. SPIE, 7972 797202 (2011). https://doi.org/10.1117/12.882955 PSISDG 0277-786X Google Scholar

6. 

J. W. Thackeray, “Materials challenges for sub-20-nm lithography,” J. Micro/Nanolithogr. MEMS, MOEMS, 10 033009 (2011). https://doi.org/10.1117/1.3616067 Google Scholar

7. 

Y. Ekinci et al., “EUV resists towards 11 nm half-pitch,” Proc. SPIE, 9048 904804 (2014). https://doi.org/10.1117/12.2046459 PSISDG 0277-786X Google Scholar

8. 

A. Lio, “EUV resists: what’s next?,” Proc. SPIE, 9776 97760V (2016). https://doi.org/10.1117/12.2225017 Google Scholar

9. 

P. Naulleau, “EUV lithography patterning challenges,” Front. Nanosci., 11 177 –192 (2016). https://doi.org/10.1016/B978-0-08-100354-1.00005-3 Google Scholar

10. 

L. Wu et al., “Mechanistic insights in Zr-and Hf-based molecular hybrid EUV photoresists,” J. Micro/Nanolithogr. MEMS, MOEMS, 18 013504 (2019). https://doi.org/10.1117/1.JMM.18.1.013504 Google Scholar

11. 

D. De Simone, P. Vanelderen and G. Vandenberghe, “Photo material readiness at the eve of EUVL HVM,” J. Photopolym. Sci. Technol., 30 613 –617 (2017). https://doi.org/10.2494/photopolymer.30.613 JSTEEW 0914-9244 Google Scholar

12. 

D. De Simone et al., “EUV photoresist patterning characterization for IMEC N7/N5 technology,” Proc. SPIE, 10583 105830G (2018). https://doi.org/10.1117/12.2299504 PSISDG 0277-786X Google Scholar

13. 

L. Li et al., “Extreme ultraviolet resist materials for sub-7 nm patterning,” Chem. Soc. Rev., 46 4855 –4866 (2017). https://doi.org/10.1039/C7CS00080D CSRVBR 0306-0012 Google Scholar

14. 

R. Maas et al., “Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists,” J. Micro/Nanolithogr. MEMS, MOEMS, 17 041003 (2018). https://doi.org/10.1117/1.JMM.17.4.041003 Google Scholar

15. 

N. Mark, K. Cho and K. Petrillo, “The physics of EUV photoresist and how it drives strategies for improvement,” J. Photopolym. Sci. Technol., 25 87 –94 (2012). https://doi.org/10.2494/photopolymer.25.87 JSTEEW 0914-9244 Google Scholar

16. 

S. W. Chang et al., “Materials for future lithography,” Proc. SPIE, 5753 1 –10 (2005). https://doi.org/10.1117/12.607235 Google Scholar

17. 

O. Yildirim et al., “Improvements in resist performance towards EUV HVM,” Proc. SPIE, 10143 101430Q (2017). https://doi.org/10.1117/12.2257415 Google Scholar

18. 

M. Krysak et al., “Extending resolution limits of EUV resist materials,” Proc. SPIE, 9422 942205 (2015). https://doi.org/10.1117/12.2086276 Google Scholar

19. 

K. Kasahara et al., “EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning,” Proc. SPIE, 10583 105831P (2018). https://doi.org/10.1117/12.2297266 Google Scholar

20. 

P. D. Ashby et al., “Resist materials for extreme ultraviolet lithography: toward low-cost single-digit-nanometer patterning,” Adv. Mater., 27 5813 –5819 (2015). https://doi.org/10.1002/adma.v27.38 ADVMEW 0935-9648 Google Scholar

21. 

L. Wu et al., “The role of the organic shell in hybrid molecular materials for EUV lithography,” Proc. SPIE, 10957 109570B (2019). https://doi.org/10.1117/12.2515264 PSISDG 0277-786X Google Scholar

22. 

C. Ober et al., “New developments in ligand-stabilized metal oxide nanoparticle photoresists for EUV lithography,” Proc. SPIE, 9422 942207 (2015). https://doi.org/10.1117/12.2086488 Google Scholar

23. 

H. Xu et al., “MOF-inspired metal-containing clusters for high resolution patterning,” Chem. Mater., 30 4124 –4133 (2018). https://doi.org/10.1021/acs.chemmater.8b01573 CMATEX 0897-4756 Google Scholar

24. 

V. Kosma et al., “Patterning mechanism of metal based hybrid EUV resists,” Proc. SPIE, 10583 105831U (2018). https://doi.org/10.1117/12.2297383 Google Scholar

25. 

W. D. Hinsberg and S. Meyers, “A numeric model for the imaging mechanism of metal oxide EUV resists,” Proc. SPIE, 10146 1014604 (2017). https://doi.org/10.1117/12.2260265 Google Scholar

26. 

D. P. Kristina et al., “The importance of inner-shell electronic structure for enhancing the EUV absorption of photoresist materials,” J. Chem. Phys., 146 164106 (2017). https://doi.org/10.1063/1.4981815 Google Scholar

27. 

R. Gronheid et al., “EUV resist requirements: absorbance and acid yield,” Proc. SPIE, 7273 727332 (2009). https://doi.org/10.1117/12.814716 PSISDG 0277-786X Google Scholar

28. 

E. C. Mattson et al., “Chemical modification mechanisms in hybrid hafnium oxo-methacrylate nanocluster photoresists for extreme ultraviolet patterning,” Chem. Mater., 30 6192 –6206 (2018). https://doi.org/10.1021/acs.chemmater.8b03149 CMATEX 0897-4756 Google Scholar

29. 

R. Gronheid et al., “Characterization of extreme ultraviolet resists with interference lithography,” Microelectron. Eng., 83 1103 –1106 (2006). https://doi.org/10.1016/j.mee.2006.01.149 MIENEF 0167-9317 Google Scholar

30. 

R. Fallica et al., “Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet,” J. Micro/Nanolithogr. MEMS, MOEMS, 15 033506 (2016). https://doi.org/10.1117/1.JMM.15.3.033506 Google Scholar

31. 

R. Fallica et al., “Absorption coefficient of metal-containing photoresists in the extreme ultraviolet,” J. Micro/Nanolithogr. MEMS, MOEMS, 17 023505 (2018). https://doi.org/10.1117/1.JMM.17.2.023505 Google Scholar

32. 

Y. Hayashi et al., “A trifluoroacetic acid adduct of a trifluoroacetate-bridged μ4-oxo-tetranuclear zinc cluster, Zn4(OCOCF3)6O·CF3CO2H: synthesis under mild conditions and catalytic transesterification and oxazoline formation,” Catal. Sci. Technol., 1 230 –233 (2011). https://doi.org/10.1039/c0cy00048e Google Scholar

33. 

T. Ohshima, “Development of tetranuclear zinc cluster-catalyzed environmentally friendly reactions and mechanistic studies,” Chem. Pharm. Bull., 64 523 –539 (2016). https://doi.org/10.1248/cpb.c16-00028 CPBTAL 0009-2363 Google Scholar

34. 

G. Kickelbick, P. Wiede and U. Schubert, “Variations in capping the Zr6O4 (OH) 4 cluster core: X-ray structure analyses of [Zr6 (OH) 4O4 (OOC-CH. CH2) 10] 2 (μ-OOC-CH. CH2) 4 and Zr6 (OH) 4O4 (OOCR) 12 (PrOH)(R= Ph, CMe= CH2),” Inorg. Chim. Acta, 284 1 –7 (1999). https://doi.org/10.1016/S0020-1693(98)00251-5 ICHAA3 0020-1693 Google Scholar

35. 

S. Gross et al., “Mono-, di-, and trimetallic methacrylate-substituted metal oxide clusters derived from hafnium butoxide,” Monatsh. Chem., 134 1053 –1063 (2003). https://doi.org/10.1007/s00706-003-0031-3 Google Scholar

36. 

D. Prochowicz, K. Sokołowski and J. Lewiński, “Zinc hydroxides and oxides supported by organic ligands: synthesis and structural diversity,” Coord. Chem. Rev., 270 112 –126 (2014). https://doi.org/10.1016/j.ccr.2013.12.003 CCHRAM 0010-8545 Google Scholar

37. 

S. B. Ötvös et al., “Synthesis and spectroscopic and computational characterization of Zn4O(Alicyclic or aromatic carboxylate)6 complexes as potential MOF precursors,” Inorg. Chem., 49 4620 –4625 (2010). https://doi.org/10.1021/ic100205n INOCAJ 0020-1669 Google Scholar

38. 

E. C. Mattson et al., “Role of excess ligand and effect of thermal treatment in hybrid inorganic-organic EUV resists,” Proc. SPIE, 10583 1058309 (2018). https://doi.org/10.1117/12.2300064 PSISDG 0277-786X Google Scholar

39. 

R. Chen et al., “Synthesis of zinc-based acrylate copolymers and their marine antifouling application,” RSC Adv., 7 40020 –40027 (2017). https://doi.org/10.1039/C7RA04840H Google Scholar

40. 

H. Koyama and Y. Saito, “The crystal structure of zinc oxyacetate, Zn4O(CH3COO)6,” Bull. Chem. Soc. Jpn., 27 112 –114 (1954). https://doi.org/10.1246/bcsj.27.112 BCSJA8 0009-2673 Google Scholar

41. 

X. Wang et al., “Progress in EUV resists towards high-NA EUV lithography,” Proc. SPIE, 10957 109570A (2019). https://doi.org/10.1117/12.2516260 PSISDG 0277-786X Google Scholar

Biographies of the authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Neha Thakur, Li-Ting Tseng, Michaela Vockenhuber , Yasin Ekinci, and Sonia Castellanos "Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(4), 043504 (9 November 2019). https://doi.org/10.1117/1.JMM.18.4.043504
Received: 30 July 2019; Accepted: 18 October 2019; Published: 9 November 2019
Lens.org Logo
CITATIONS
Cited by 19 scholarly publications and 1 patent.
Advertisement
Advertisement
KEYWORDS
Thin films

Zinc

Extreme ultraviolet lithography

Photoresist materials

Lithography

Metals

FT-IR spectroscopy

Back to Top