Open Access
19 November 2019 Design, simulation, and fabrication of three-dimensional microsystem components using grayscale photolithography
Melissa A. Smith, Shaun Berry, Lalitha Parameswaran, Christopher Holtsberg, Noah Siegel, Ronald Lockwood, Michael P. Chrisp, Daniel Freeman, Mordechai Rothschild
Author Affiliations +
Abstract

Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.

1.

Introduction

Complex three-dimensional (3-D) microstructures are essential to the development of a broad range of high-performance microsystems, from microelectromechanical systems (MEMS) to micro-optical and microfluidic components. However, this large variety of applications, often requiring relatively small volumes of the product, has also hampered the development of specialized microfabrication tools specifically designed for high-yield, high-throughput production. Instead, it has been common to adapt the extensive infrastructure of the microelectronics integrated circuits (IC) industry to the specific needs of MEMS and related fields. This approach, while successful in many respects, has an important limitation: since microelectronics fabrication is essentially a planar layer-by-layer process, it is not equipped for facilitating fabrication of 3-D sloped and curved facets, which are desirable in MEMS and other applications. In particular, the most critical step that must be developed is obtaining 3-D structures in the resist to be followed by customized pattern transfer into the underlying layers. The required lithography, often called “grayscale lithography,” has been the subject of multiple efforts with varying degrees of success.13

Direct writing technologies such as electron beam lithography and laser writing lithography techniques2 have been demonstrated for 3-D microstructures but have a limited range of shapes and size and suffer from low throughput. Furthermore, specialized low-contrast resists often need to be developed, either involving different chemistry or different resist processing steps, such as baking and development. An ideal grayscale lithography would address these shortcomings and have the following properties: (1) It employs robust IC-grade resists and resist processes; (2) it employs IC-grade high-throughput projection optical lithography systems in single exposures; and (3) it employs an end-to-end lithography process that can be simulated and engineered predictively and quantitatively. Preferably, the simulation should be accomplished on widely available IC lithography software platforms. Limited by items (1) and (2) above, the grayscaling is obtained through custom photomasks, which need to be designed as guided by item (3). This is accomplished by having the photomask containing varying spatial features that modulate the UV exposure of the photoresist. The UV modulation allows for accurate control of the depth of the developed photoresist, leading to the ability to create arbitrary complex surface geometry.

We note that a major hurdle for widespread implementation of grayscale photolithography is the lack of standardization for creating the complex mask files. The most common method used is a trial-and-error approach.4 This can be time-consuming, experimentally exhaustive, and costly, since several expensive subresolution photomasks might have to be fabricated before the correct shape is generated. While there has been some research into developing methods to accurately generate grayscale mask files,59 utilizing a commercially available software package is highly desirable.

Once the desired shape is formed in the photoresist, the pattern can be transferred to a variety of underlying films and substrates, including silicon and fused silica, through IC-grade anisotropic etch processes such as deep reactive-ion etching or reactive-ion etching (RIE). In addition, other processes, such as resist reflow, can be used to further enhance or shape the photoresist.

Regarding limitations of this approach, grayscale photolithography cannot make free-standing structures and requires appropriate coat and exposure equipment and at least one mask with features well below the resolutions limit of the tool or a subresolution mask. Therefore, grayscale photolithography is not optimal for prototyping. There are other viable approaches for making 3-D microstructures, such as imprint lithography and two-photon stereolithography. In the case of imprint lithography, the cost to create the mold is comparable to that of a subresolution mask. In addition, typically the equipment required for imprint lithography is not specialized, which suits this approach well for prototyping. However, notable disadvantages of this technique are the limited mold lifetimes and defectivity,10,11 more so than in traditional optical lithography. In the case of two-photon stereolithography, it can create 3-D free-standing microstructures at a resolution that is comparable to optical lithography without a mask. However, the available materials set is limited mostly to polymers, the serial writing aspect of this approach results in low throughput/long write times, and printing areas are typically much smaller than those of traditional wafer-scale processing.12

In this paper, we present the general framework of grayscale lithography using subresolution photolithography. Following this, a method to generate grayscale masks using the lithography simulation software, PROLITH,13 in combination with a specific optical stepper and the photoresists, is used. We show that this software can accurately predict the 3-D profile that will be created in the photoresist for a given grayscale mask design. We present three case studies with widely varying grayscale geometries. We then illustrate how the mask design drives the overall lithography step and how the final device is obtained by coupling the resist profile with optimized postlithography processes, such as thin-film deposition or RIE.

2.

Modeling Grayscale Lithography

2.1.

Principles of Grayscale Lithography

There are two key elements that are required for grayscale photolithography: (1) a nonideal resist and (2) subresolution mask features. Unlike ideal resists with infinite contrast, practical photoresists have large yet finite values for contrast (Fig. 1). These finite values imply that there will be a range of exposure doses when the resist is partially exposed. This leads to partially developed resist with final thickness ranging between the initial (unexposed) value and zero.

Fig. 1

Contrast curves of an ideal resist and real resist. The remaining resist thickness of a positive-tone resist after development is plotted as a function of exposure dose. The contrast is defined as the slope of the curve between the highest dose when the remaining resist retains its undeveloped thickness (D0) and the dose when the resist is fully developed (D1). The ideal resist in microelectronics has an essentially infinite contrast; real resists may have high but finite contrast, where D0 may be significantly smaller than D1. Grayscaling will occur within this dose range between D0 and D1.

JM3_18_4_043507_f001.png

The second component in grayscaling is the use of subresolution features on the photomask, which control the effective dose and its spatial distribution at the resist. As shown in Fig. 2, the aerial dose modulation increases from uniform exposure when the features are much smaller than the resolution limit of the optics (<450  nm lines and spaces) to slight modulation (between 500 and 900 nm lines and spaces) to fully resolved features (2000 nm lines and spaces and above). We note that the trend shown in Fig. 2 is universal, although the numerical values of the nominal lines and spaces are specific to the optical system under consideration. In the case of Fig. 2, we simulated the aerial image obtained with our i-line stepper (see below). In conventional optical lithography, the emphasis is on patterns that result in some degree of intensity modulation, and the goal of the high-contrast resist is to degrade this modulation as little as possible. In contrast, for the purpose of grayscale lithography we explicitly utilize feature sizes that result in minimal aerial image modulation and prefer to employ resists with low contrast to “wash out” the little image modulation that may still be present.

Fig. 2

The average intensity distribution at the wafer plane of the light projected through a mask for various line/space dimensions. In all cases shown, the line/space ratio is 11. The simulations were performed with PROLITH and used the optical system of the current work: an i-line stepper (365-nm wavelength), with NA of 0.24 and a partial coherence of 0.70 (see also text). The resolution limit [Eq. (1) in the text] is 450-nm lines and spaces. In grayscale lithography, subresolution features of less than 450  nm were employed.

JM3_18_4_043507_f002.png

In this version of grayscale lithography, the information content of the photomask is not the smallest possible printable resolution. Instead, it is on a larger spatial scale: the average dose at the resist plane is determined by the relative area of the transmissive parts of the mask versus the absorptive (or reflective) parts. While Fig. 2 simulates only lines and spaces with a 11 ratio and the resultant relative intensity is always 0.25, Fig. 3 shows that in the subresolution regime the average intensity can be adjusted by changing the line-to-space ratio: the higher the ratio, the higher the exposure dose and the thinner the postdevelopment resist thickness.

Fig. 3

Diagram showing the pattern at the photomask and the postdevelopment resist profile when the features to be patterned are much larger than the resolution of the optical system and the features are below the resolution limit.

JM3_18_4_043507_f003.png

The key to using grayscale photolithography to make arbitrary shapes in the resist is to modulate the aerial intensity with a series of subresolution masking features. By tuning the density and location of subresolution features, the effective exposure dose can be modulated to control resist thickness and therefore be used to make arbitrary 3-D shapes.

2.2.

Resists and Exposure Tool

SPR518 and SPR220 resists were used for grayscaling with an i-line exposure tool on a 200-mm platform. We used a Canon FPA-3000 iW stepper, which has a 50-mm field size, 2× reduction, an exposure wavelength (λ) of 365 nm, a partial coherence (σ) of 0.70, and a numerical aperture (NA) of 0.24. For the thinner resist processing, SPR518 was spun to a thickness of 1180  nm and soft-baked at 95°C for 60 s, followed by a postexposure bake at 110°C for 80 s, and a postdevelopment bake at 115°C for 60 s. For the thicker resist processing, SPR220 was spun to a thickness of 5000  nm and soft-baked at 115°C for 90 s, with a postexposure bake at 115°C for 90 s, and developed in MF-24 for 60 s.

2.3.

Create Resist Models in PROLITH

Grayscale lithography requires resist processing in the exposure range between fully exposed and unexposed, D1 and D0 in Fig. 1. A contrast curve for a particular resist is required to determine the range of exposure doses that will enable grayscaling. The contrast curves for SPR518 and SPR220 were determined without a mask by performing an exposure matrix on 1  cm×1  cm open frames on the Canon FPA-3000 iW. The resist thickness was determined by ellipsometry. The contrast curves for SPR518 and SPR220 are shown in Fig. 4, with extracted dose-to-clear D1 values of 930 and 2400  J/m2 for SPR518 and SPR220, respectively.

Fig. 4

Contrast curves for (a) SPR518 and (b) SPR220 as measured experimentally (actual) and simulated with PROLITH. By iterating model elements with known model parameters, a good fit to the experimental data can be obtained, especially in the highlighted dose ranges.

JM3_18_4_043507_f004.png

Next, a model for simulation must be created and calibrated for good agreement with the experimentally measured contrast curves. A simulation software that models the optical response, chemical behavior, and physical geometries of photolithographic processes, PROLITH,13,14 was used to calculate contrasts curves. The simulated curves were then compared to curves that were measured experimentally. This requires the specification of the parameters listed in Table 1. A summary of the PROLITH model parameters is tabulated in Appendix A.

Table 1

Model inputs for PROLITH.

Model parametersSource
Model typeLPM
Resist thicknessMeasured
Absorption coefficientDatasheet
Image diffusion lengthTo be iterated
Dose to clearTo be iterated
Refractive indexDatasheet
Resist contrastTo be iterated
Development RminMeasured
Resist toneDatasheet
Imaging methodExposure tool settings
Diffusion modelConventional single diffusion
Develop pathFull 3-D

For this work, the lumped parameter model (LPM) type was sufficient. The resist thicknesses were input from measurements made with ellipsometry. The absorption coefficients for each resist can be calculated for an unexposed resist using the Dill parameters that are frequently listed in the resist datasheets. The indices of refraction and resist tones were taken from the resist datasheets as well. The minimum development rate, or Development Rmin, is a parameter in the LPM and can be determined by measuring the unexposed resist thickness after develop and bake. The imaging method is determined by the exposure tool configuration. The three parameters, image diffusion length, dose to clear, and resist contrast were iterated independently to achieve acceptable agreement between experimental and simulated contrast curves. In this work, five to ten iterations of each parameter were found to be sufficient.

Using the above model parameters, the experimentally determined resist thicknesses were fit to simulated contrast curves. Figure 4 shows the PROLITH-simulated contrast curves, as well the dose ranges over which the fit was best: 575 to 850  J/m2 for SPR518 and 1000 to 1700  J/m2 for SPR220. These dose ranges are also highlighted in Fig. 4 for the two resists.

2.4.

Estimating Tool Resolution

The resolution limit is a function of the exposure and development processes. The resolution limit (R), defined as the pitch at which the aerial image modulation approaches zero, is determined by the optical performance of the stepper. Assuming aberration-free imaging, R can be estimated using Eq. (1), if the wavelength (λ), partial coherence (σ), and NA of the source are known.

Eq. (1)

R=λ(1+σ)NA.

Using the optical parameters of the Canon FPA-3000 iW, the resolution limit is 900  nm. Thus, the smallest features one can expect to resolve with this stepper are R/2, which is 450  nm. This value can be obtained only with an ideal photoresist. In practice, the resist response and its processing conditions may further degrade the achievable resolution. While this effect is a drawback in high-resolution lithography, it is a desired feature of grayscale lithography.

2.5.

Correlating Resist Thickness and Average Intensity with Aerial Density

Using PROLITH, the average intensity at the wafer plane and the postdevelopment resist thickness can be determined as a function of aerial density (AD) at the photomask for a range of incident doses. To determine dependence of resist thickness and average intensity on the AD, a series of test designs that utilize subresolution features, as prescribed by the lithography tool, can be input into PROLITH. The photomask AD of a test design is determined by the amount of open space, by calculating the percentage area coverage of what would be nontransmissive features on a mask. For a simple test mask, lines and spaces are suitable. For this work, the pitch between lines was set to be 895 to 900nm in wafer units and the width of the line will determine the AD of the feature. Figure 5(a) and Eq. (2) show how to determine the AD. The output from PROLITH, regarding average intensity at the wafer plane as a function of AD at the photomask, is shown in Fig. 5(b).

Eq. (2)

LinewidthPitch=AD.

Fig. 5

(a) Examples of the photomask test design used for correlating resist thickness with an AD. (b) Average relative intensity at the wafer plane as a function of AD as calculated by PROLITH.

JM3_18_4_043507_f005.png

In Fig. 5, the transmissive “slits” in the photomask cover a fractional area that is (1-AD). Note that in this subresolution regime, when the pitch is less than the resolution limit R [Eq. (1)], the transmitted light is proportional to the square of the slit area15 and not to the area itself. This regime is also sometimes referred to as the “Rayleigh limit” in scattering theory. Indeed, as Fig. 2 shows, the relative intensity at the wafer plane is 0.25=(1AD)2, where AD=0.5 and R is <900  nm or below.

As resist exposure dose and intensity at the wafer plane are directly proportional, with exposure time as the proportionality factor, reducing the AD increases the relative intensity, which in turn increases the effective dose for a given exposure time. Using the upper and lower limits of the doses that showed a good fit between experimental and PROLITH-determined contrast curves, process windows can be defined for a given AD. Such process windows for SPR518 and SPR220 are shown in Fig. 6. For example, in designs with the SPR518 resist process, exposure conditions should range between 2000 and 3000  J/m2 around the photomask features with an AD of 45%, which corresponds to 400-nm lines on an 895-nm pitch. For the SPR220 resist process, exposure conditions should range between 2200 and 4000  J/m2 around the features with an AD of 35%, which is a 315-nm line width at a 900-nm pitch. It should be noted in Fig. 6 that the y axis is on a logarithmic scale, which indicates a wider process window with higher ADs.

Fig. 6

Process windows for (a) SPR518 and (b) SPR220. Calibrated PROLITH models will match well with designs and exposure conditions in the process window (blue region).

JM3_18_4_043507_f006.png

To validate the estimated process windows, contrast curves with various ADs were measured experimentally and compared with those generated with PROLITH. These contrast curves are shown in Fig. 7 and agree with the predicted process windows in Fig. 6.

Fig. 7

Contrast curves for various ADs for SPR518 and SPR220.

JM3_18_4_043507_f007.png

3.

Processing Considerations

There is often a need to transfer an existing resist pattern into an underlying material. Dry etching is typically employed to achieve this pattern transfer. In the case of grayscale lithography, certain aspects of the etching process, such as the material-to-resist etch selectivity, the in-process etch rate variations, the etch isotropy, and the etch-induced surface roughness, may require careful consideration.

For etch selectivity, usually the features in the underlying film will be taller by a factor that is the etch selectivity. However, it should be noted that due to loading effects, the etch rate can be sensitive to the area of the exposed underlying material. As a result, the etch selectivity can vary as a function of the exposed area of the underlying film. This results in etch profiles that depend on the pattern density in addition to the resist profile. Therefore, the etch process should be designed to be as insensitive to loading effects as possible.

Startup transients and fluctuations can cause in-process etch rate variations, which can further challenge the fidelity of the pattern transfer process. This can be compensated in the mask design process by setting the feature within the thickness of resist as long as the full thickness of the resist is not required. This would delay the actual underlying material etch to later in the etch process where it is typically more stable.

Furthermore, often the dry-etching process is not perfectly anisotropic. Even small isotropic components of the etch can result in a nonideal undercut, final features. As for surface roughness, the resulting etch surfaces will generally be rougher than the as-exposed resist surface, which may be deleterious for some optical system that are sensitive to scattered light. Additional process steps must then be implemented. Luckily, there are a number of proven smoothing techniques that can be employed to manage excessive surface roughness.1619

4.

Microsystem Components

To demonstrate the efficacy of using PROLITH for guiding the grayscale photolithography processes, the fabrication of three different components for three different microsystems is described below. PROLITH successfully predicted resist profiles with a range of attributes, including those that are shallow, steep, or nonlinear. Figure 8 illustrates how the mask is designed with subresolution features to enable the patterning and etching of 3-D features.

Fig. 8

An illustrative overview of the grayscale photolithography process for the fabrication of microsystem components.

JM3_18_4_043507_f008.png

4.1.

Blazed Gratings for Chrisp Compact Visible, Near-Infrared/Shortwave-Infrared Imaging Spectrometer

Visible through infrared (IR) spectrometers are of use for capturing images that contain not only spatial but also compositional and thermal information. This renders them desirable for a host of science and exploration applications. However, even the state-of-the-art imaging spectrometers are quite large. While they can serve a satellite payload, there is a growing need to deploy such imagers on smaller platforms, such as unmanned aerial vehicles and small satellites. While compact forms of IR spectrometers have been demonstrated by Van Gorp et al.20 at the Jet Propulsion Laboratory (JPL), these are too large for many drones or small satellites.

A key element that drives the size of modern spectrometers is the diffraction grating: larger area diffraction gratings can enable smaller distances between optics and therefore smaller volumes of the overall optical system. Further, the use of an appropriately blazed grating improves the efficiency, which in turn improves resolution and speed of sampling, as shown by JPL.20 As shown in Fig. 9, Chrisp et al.22 have proposed an IR imaging spectrometer, the Chrisp Compact visible, near-infrared/shortwave-infrared Imaging Spectrometer (CCVIS), which occupies 11× less volume than the state-of-the-art imaging spectrometers with similar optical performance.20 The key to this new capability is the requirement for a large area grating with multivalued blaze angles.

Fig. 9

The CCVIS optical form.21

JM3_18_4_043507_f009.png

The existing methods of fabricating blazed gratings are challenging. Employing diamond turning for blazed gratings is expensive and scanning electron beam lithography is limited to areas much smaller than that required for the CCVIS. Using grayscale photolithography, we show that large areas of multiangle blazed grating are achievable and have comparable performance to those fabricated with scanning electron beam lithography.

Three different blazed grating designs were fabricated using PROLITH-guided grayscale lithography. One design has a single blaze, and the two other designs feature multiple blaze angles. These are shown in Fig. 10. These designs are particularly challenging as the blaze angles are very shallow. The gratings were fabricated using the SPR518 resist process, which was discussed in Sec. 2. Following grayscale lithography, the patterned resists were coated with 50 nm of aluminum to make them reflective throughout the visible and near-infrared. A 3-D profile was captured using a Zygo Nexview NX2, as shown in Fig. 11. There is excellent agreement between the designs, simulated profiles from PROLITH, and the measured data, as shown in Fig. 12. The relative scatter, and therefore signal to noise, of the blazed gratings is shown in Fig. 13. It should be noted that the measured signal-to-noise ratio of 4 to 5 orders of magnitude is comparable to that of the blazed grating fabricated by scanning electron beam lithography.23,24 These results clearly indicate that grayscale photolithography is a lower-cost, higher-throughput alternative for fabricating blazed gratings for high performance optical components.

Fig. 10

Three designs feature various blaze angles.

JM3_18_4_043507_f010.png

Fig. 11

The 3-D resist profiles of completed blazed gratings using a Zygo Nexview NX2.

JM3_18_4_043507_f011.png

Fig. 12

Comparing the design, PROLITH simulations, and measured profiles of the blazed gratings for the CCVIS.

JM3_18_4_043507_f012.png

Fig. 13

Relative scatter measurements as a function of the angle of incident light.

JM3_18_4_043507_f013.png

4.2.

Solid Emitters for Highly Integrated and Miniaturized Electrospray

Electrospray is the electrostatic atomization of a conductive liquid with an applied voltage. Generally, this process requires a very high electric field at the liquid surface, necessitating the use of sharpened structures that concentrate the electric field. This process is illustrated in Fig. 14, where a voltage is applied between an extractor plate (blue) and an array of emitter tips that are covered in a conductive fluid (red). When the applied voltage exceeds some threshold, ions or fluid droplets will be pulled from the emitter tips toward the extractor plate.25,26

Fig. 14

An illustration of the electrospray process.

JM3_18_4_043507_f014.png

Electrospray has utility in applications such as sources for mass spectrometry,27 ink jet printers,28 thrusters for electric propulsion for satellites,29 and air purification.30 If the key components (emitters, extractors, fluids management, and power supply) of electrospray could be miniaturized, this would open the door for new capabilities, such as ubiquitous chemical monitoring through small-scale mass spectrometers and propulsion for ultraminiature (femtoscale) satellites.31 Silicon-based microfabrication is a promising approach for miniaturized electrospray systems.3235 While silicon microfabrication is often restricted in terms of the ability to make 3D structures, grayscale lithography offers sufficient control over the emitter shape to tune electrospray performance.

Different emitter architectures were fabricated using PROLITH-guided grayscale lithography, with varying emitter height, base width, and tip radius of curvature. These designs are challenging, as relatively tall structures are needed compared with the resist thickness, leading to very steep angles in contrast with the shallow angles of the blazed gratings in the CCVIS. The emitters were etched into Si using a Bosch deep-Si etch process with a silicon-to-resist selectivity of 401, whereby the silicon etches 40 times faster than the resist. (see Sec. 2). The resulting emitters are shown in Fig. 15.

Fig. 15

The 3-D resist profiles of electrospray emitters taken with an optical profiler (Zygo Nexview NX2) and matching scanning electron micrographs (SEMs) of the resulting electrospray emitters after the Si etch process.

JM3_18_4_043507_f015.png

Defining features in a process regime where there are instabilities will result in poor pattern transfer fidelity. There tends to be more process instabilities at the start of many dry-etch processes. As patterns with thinner resist will transfer earlier in the Si etch process, these areas will not transfer patterns well. This is evident in Fig. 15 as the base of each fully formed emitter in Si is 25  μm smaller than that defined by its resist feature. Further, the shortest emitter, which has the thinnest resist (1.2  μm), forms in the shortest amount of time and has a rougher surface compared with those emitters that take longer to fully form (taller emitters, thicker resist).

To test the performance of the emitters, the ionic liquid EMI-BF4 was applied to the surface of an array of emitters. The surfaces of the emitters were roughened to facilitate wetting of the entire surface with EMI-BF4, as shown in Fig. 16. A grounded plate was positioned over the array to measure the current generated by the spray as voltage was applied to the emitter array. The current measured in response to the applied voltage is shown in Fig. 17. When the voltage exceeds 1 kV, the electrospray is initiated, as evidenced by the measured current of 1 to 2.5  μA.

Fig. 16

SEMs of an array of emitter tips. (a) An overly wet emitter tip b) A tip that is almost fully wet.

JM3_18_4_043507_f016.png

Fig. 17

Sampling of ion current from the electrospray while increasing the magnitude of the extraction voltage.

JM3_18_4_043507_f017.png

4.3.

Liquid Microlenses with Adjustable Focusing and Beam Steering

Electrically controlled micron-scale liquid lenses are being developed that combine both adjustable focusing and beam steering in a single optical element with the goal of applying them to optogenetics36,37 for in-vivo mapping of brain activity with a single cell resolution. The liquid microlens is formed by the interface shape between two immiscible liquids having different refractive indices, which are contained in a conically tapered lens cavity etched into a fused silica substrate, as shown in Fig. 18. Interdigitated electrodes are patterned along the sidewall of the taper to control the liquid lens curvature and tilt through electrowetting.38 In electrowetting, the surface energy between a conductive liquid in contact with a hydrophobic solid substrate is modified by the application of voltage, changing it from hydrophobic to hydrophilic in prescribed regions via suitably designed electrodes covered by a hydrophobic film. The observed result is a change in the contact angle between the liquid–liquid interface and the solid substrate.

Fig. 18

(a) Liquid microlens design that combines both active focusing and steering by controlling the interface formed between two immiscible liquids. Do is the lens diameter and Dca is the clear aperture diameter. The liquid interface is contained within a 45-deg-tapered conical region. Reprinted with permission from Ref. 38. Copyright 2017 Optical Society of America.

JM3_18_4_043507_f018.png

In this work, four different microlens cavity sizes were fabricated with an outside diameter, Do of 50, 60, 75, and 100  μm. Based on numerical simulations,39 the taper angle and taper depth that would provide the best dynamic range of the liquid lens were found to be 45 deg and 15  μm, respectively. To form the sloping sidewall of the conical taper, grayscale lithography was used.

To form the conical taper, subresolution annular lines with radially varying linewidths were used between the outside diameter and the inside diameter of the taper on the mask. Setting the pitch P between subresolution features equal to R the resolution limit of the exposure system (from Eq. 1), which was 895 nm (Sec. 2 above), the number of subresolution features between the inside and outside diameters was determined as

Eq. (3)

N=  RoRiP,
where N is the number of subresolution features, Ro is the outside radius of the taper, Ri is the inside radius of the taper, and P is the pitch. The linewidths varied from the smallest size at the inner radius to allow the highest exposure dose (small AD=0.34 in Fig. 5 above) to the widest line at the outer radius (AD=0.61). An example of the grayscale mask for a microlens with a 50-μm outside diameter and inside diameter of 25  μm is shown in Fig. 19.

Fig. 19

Example of a grayscale mask used for a liquid lens cavity having a 50-μm OD and a 25-μm ID. Linewidths vary radially in size from 340 nm in the inner diameter to 550 nm in the outer diameter and are equally spaced at a pitch P equal to 895 nm.

JM3_18_4_043507_f019.png

PROLITH was used to predict the resist profile for the four different microlens designs based on the mask design shown in Fig. 19. The predicted profiles are shown in Fig. 20 for 5-μm-thick Shipley SPR220 photoresist, with an exposure dose of 4900  J/m2.

Fig. 20

Resist profiles predicted from PROLITH. (a) For lens design 1: 50  μmOD×25  μmID. (b) For lens design 2: 60  μmOD×35  μmID. (c) For lens design 3: 75  μmOD×52  μmID. (d) For lens design 4: 100  μmOD×75  μmID.

JM3_18_4_043507_f020.png

Figure 21(a) compares the measured resist profile after exposure and development with the predicted profile from PROLITH for the 50-μm lens design. The agreement between simulation and experiment is remarkably good. It is important to note, however, that with these photomasks the profiles do not have a linear slope. This is mainly a result of not being able to have enough graduated subresolution features between the inner and the outer diameters of the lens for this pitch and radial distance, with the correct linewidth variation. As a result, a second processing step, thermal reflow, was required to transform the nonlinear profile into one that is more linear. It was found, through experimentation, that baking at 150°C for 5 min was sufficient for smoothing the resist profile, especially near the transition at the inner and outer taper diameters, as shown in Fig. 21(b).

Fig. 21

(a) Comparing the actual resist profile after exposure and development with the predicted profile from PROLITH for the 50  μmOD×25  μmID lens. (b) Comparing the resist profiles after exposure and after reflow at 150°C for 5 min.

JM3_18_4_043507_f021.png

The next step was to transfer the resist profile [Fig. 22(a)] into a fused silica substrate. An optimized RIE process that created a 12-μm-deep conical taper with a 45-deg sloping sidewall was developed [Fig. 22(b)]. Once an optimized etch was developed, additional processing steps were required to turn the structure into a functional electrowetting lens, including metallizing and patterning electrodes on the side walls and the taper, as well as patterning a hydrophobic film just within the lens cavity. The results from these processing steps are shown in Fig. 22. Details of the liquid lens fabrication, packaging, and testing can be found in the report from Berry et al.38

Fig. 22

(a) Measured resist profile after reflow at 150°C, for a 50-μm outside diameter and 28-μm clear aperture lens design. Image captured from a 3-D microscope (Keyence). (b) Measured etch profile in fused silica. Final lens cavity dimensions: 55-μm outside diameter, 32-μm clear aperture, 12-μm etch depth, and 43-deg taper angle. Image captured from a 3-D microscope. (c) Top-down microscopic image of quadrupole electrode design after metal patterning and etch. (d) SEM image of quadrupole electrode design after depositing 500 nm of PECVD oxide. (e) SEM image after CYTOP processing. Reprinted with permission from Ref. 38. Copyright 2017 Optical Society of America.

JM3_18_4_043507_f022.png

5.

Conclusions

We have developed a computational modeling procedure, using PROLITH, to guide the design of photomasks and resist processing that would result in desired grayscale topography in the photoresist. This modeling procedure is of significance as it reduced design time and eliminated or minimized the need for expensive test photomasks, which effectively reduced the fabrication costs. This has been achieved using a commercially available lithography software package and employing subresolution features to provide for graduated exposure dose, which in turn results in a graduated resist profile. This strategy was implemented with an i-line projection stepper and two different photoresists. Further, we have demonstrated the effectiveness of this approach by combining grayscale lithography with postdevelopment processing steps to generate microdevices covering a broad range of applications and geometries: dual blazed gratings for a compact imaging spectrometer, optimized electrospray tip emitters, and liquid microlenses controlled by electrowetting. The quantitatively predictive nature of our simulation, coupled with the use of commercially available materials and systems, enables the relatively inexpensive microfabrication of components, from single-unit prototypes to highly scaled manufacturing.

6.

Appendix A: PROLITH Model Inputs

In Table 2, input values are tabulated for each parameter of the Lumped Parameter Model in PROLITH. Good agreement was observed between experimental and simulated results with these inputs, for both the SPR518 and SPR220 resists.

Table 2

Inputs to the Lumped Parameter Model in PROLITH for the SPR518 and SPR220 resists.

ParameterSPR518SPR220
Model parameters
Resist thickness (nm)11785053
Absorption coefficient (1/μm)0.450.5548
Image diffusion length (nm)202100
Dose to clear (mJ/cm2)93505
Refractive index1.41.73
Resist contrast102
Development Rmin (nm/s)0.7331.32
Resist tonePositivePositive
Imaging methodTop of resistTop of resist
Diffusion modelConventional single diffusionConventional single diffusion
Develop pathFull 3-DFull 3-D
Mask
Mask simulation modeKirchoffKirchoff
Background intensity transmittance11
Background phase (deg)00
Imaging tool
NameConventional partially coherentConventional partially coherent
GaussianNoNo
Partial coherence0.70.7
Illumination spectrumNoneNone
Illumination polarizationUnpolarizedUnpolarized
Immersion enabledNoNo
Wavelength (nm)365365
Wavelength range (nm)00
NA0.240.24
Reduction ratio22
Flare00
Exposure and focus
Dose calibrationWafer sideWafer side
Dose correctable11
Focal position to relativeTopTop
Vibrations
Vibrations modelNoneNone
Development
Develop time (s)6060

Disclosures

The authors have no relevant financial interests in the article and no other potential conflicts of interest to disclose.

Acknowledgments

Distribution Statement A. Approved for public release: distribution unlimited. This material is based upon the work supported under Air Force Contract Nos. FA8721-05-C-0002 and/or FA8702-15-D-0001, the NASA Earth Science and Technology Office Instrument Incubator Program supported under Grant No. NNG17HH60I, and the National Institutes of Health supported under Grant No. 5R01DA029639. Any opinions, findings, conclusions, or recommendations expressed in this material are those of the author(s) and do not necessarily reflect the views of the U.S. Air Force or the U.S. government.

References

1. 

C. M. Waits et al., “Microfabrication of 3D silicon MEMS structures using gray-scale lithography and deep reactive ion etching,” Sens. Actuators A: Phys., 119 (1), 245 –253 (2005). https://doi.org/10.1016/S0924-4247(04)00193-1 SAAPEB 0924-4247 Google Scholar

2. 

A. Rammohan et al., “One-step maskless grayscale lithography for the fabrication of 3-dimensional structures in SU-8,” Sens. Actuators B: Chem., 153 (1), 125 –134 (2011). https://doi.org/10.1016/j.snb.2010.10.021 Google Scholar

3. 

Y. Oppliger et al., “One-step 3D shaping using a gray-tone mask for optical and microelectronic applications,” Microelectron. Eng., 23 (1–4), 449 –454 (1994). https://doi.org/10.1016/0167-9317(94)90193-7 MIENEF 0167-9317 Google Scholar

4. 

C. M. Waits, A. Modafe and R. Ghodssi, “Investigation of gray-scale technology for large area 3D silicon MEMS structures,” J. Micromech. Microeng., 13 (2), 170 –177 (2003). https://doi.org/10.1088/0960-1317/13/2/302 JMMIEZ 0960-1317 Google Scholar

5. 

W. Henke et al., “Simulation and experimental study of gray-tone lithography for the fabrication of arbitrarily shaped surfaces,” in Proc. IEEE Micro Electro Mech. Syst. Invest. Micro Struct. Sens. Actuators, Mach. and Rob. Syst., 205 –210 (1994). https://doi.org/10.1109/MEMSYS.1994.555624 Google Scholar

6. 

J. Loomis et al., “Grayscale lithography—automated mask generation for complex three-dimensional topography,” J. Micro/Nanolith. MEMS MOEMS, 15 (1), 013511 (2016). https://doi.org/10.1117/1.JMM.15.1.013511 Google Scholar

7. 

B. Wagner et al., “Microfabrication of complex surface topographies using grey-tone lithography,” Sens. Actuators A: Phys., 46 (1–3), 89 –94 (1995). https://doi.org/10.1016/0924-4247(94)00868-I SAAPEB 0924-4247 Google Scholar

8. 

T. Kokubo et al., “Design of a positive photoresist for submicron imaging assisted by SAMPLE simulation,” Proc. SPIE, 0920 355 (1988). https://doi.org/10.1117/12.968336 PSISDG 0277-786X Google Scholar

9. 

T. Dillon et al., “Processing and modeling optimization for grayscale lithography,” Proc. SPIE, 6923 69233B (2008). https://doi.org/10.1117/12.773213 PSISDG 0277-786X Google Scholar

10. 

C. Duan, W. Wang and Q. Xie, “Review article: fabrication of nanofluidic devices,” Biomicrofluidics, 7 (2), 026501 (2013). https://doi.org/10.1063/1.4794973 1932-1058 Google Scholar

11. 

J. L. Perry and S. G. Kandlikar, “Review of fabrication of nanochannels for single phase liquid flow,” Microfluid. Nanofluid., 2 (3), 185 –193 (2006). https://doi.org/10.1007/s10404-005-0068-1 Google Scholar

13. 

“PROLITH 9.2.0.9 BETA, KLA-Tencor,” (2005). Google Scholar

14. 

C. A. Mack, “Thirty years of lithography simulation,” Proc. SPIE, 5754 1 –12 (2005). https://doi.org/10.1117/12.601590 Google Scholar

15. 

H. A. Bethe, “Theory of diffraction by small holes,” Phys. Rev., 66 (7–8), 163 –182 (1944). https://doi.org/10.1103/PhysRev.66.163 PHRVAO 0031-899X Google Scholar

16. 

N. C. H. Le et al., “Fabrication of optically smooth, through-wafer silicon molds for PDMS total internal reflection-based devices,” Microsyst. Technol., 15 (12), 1845 –1853 (2009). https://doi.org/10.1007/s00542-009-0913-3 0946-7076 Google Scholar

17. 

K. K. Lee et al., “Fabrication of ultralow-loss Si/SiO2 waveguides by roughness reduction,” Opt. Lett., 26 (23), 1888 (2001). https://doi.org/10.1364/OL.26.001888 OPLEDP 0146-9592 Google Scholar

18. 

S. K. Tang et al., “Investigation of borophosphosilicate glass roughness and planarization with the atomic force microscope technique,” Thin Solid Films, 352 (1–2), 77 –84 (1999). https://doi.org/10.1016/S0040-6090(99)00353-3 THSFAP 0040-6090 Google Scholar

19. 

L. Lai and E. A. Irene, “Limiting Si/SiO2 interface roughness resulting from thermal oxidation,” J. Appl. Phys., 86 (3), 1729 –1735 (1999). https://doi.org/10.1063/1.370954 JAPIAU 0021-8979 Google Scholar

20. 

B. Van Gorp et al., “Design of the compact wide swath imaging spectrometer (CWIS),” Proc. SPIE, 9222 92220C (2014). https://doi.org/10.1117/12.2062886 PSISDG 0277-786X Google Scholar

21. 

M. P. Chrisp et al., “A novel imaging spectrometer form for the solar reflective spectral range for size, weight, and power limited applications,” Proc. SPIE, 10780 107800L (2018). https://doi.org/10.1117/12.2324453 PSISDG 0277-786X Google Scholar

22. 

M. P. Chrisp, “Visible-infrared plane grating imaging spectrometer,” US9689744B2 (2017).

23. 

D. W. Wilson et al., “Recent advances in blazed grating fabrication by electron-beam lithography,” Proc. SPIE, 5173 51730E (2003). https://doi.org/10.1117/12.510204 PSISDG 0277-786X Google Scholar

24. 

T. N. Woods et al., “Scattered-light properties of diffraction gratings,” Appl. Opt., 33 (19), 4273 (1994). https://doi.org/10.1364/AO.33.004273 APOPAI 0003-6935 Google Scholar

25. 

G. Taylor, “Disintegration of water drops in an electric field,” Proc. R. Soc. A: Math. Phys. Eng. Sci., 280 (1382), 383 –397 (1964). https://doi.org/10.1098/rspa.1964.0151 Google Scholar

26. 

J. Rosell-Llompart, J. Grifoll and I. G. Loscertales, “Electrosprays in the cone-jet mode: from Taylor cone formation to spray development,” J. Aerosol Sci., 125 2 –31 (2018). https://doi.org/10.1016/j.jaerosci.2018.04.008 JALSB7 0021-8502 Google Scholar

27. 

J. Fenn et al., “Electrospray ionization for mass spectrometry of large biomolecules,” Science, 246 (4926), 64 –71 (1989). https://doi.org/10.1126/science.2675315 SCIEAS 0036-8075 Google Scholar

28. 

Y. Pan et al., “Fabrication and evaluation of a protruding Si-based printhead for electrohydrodynamic jet printing,” J. Micromech. Microeng., 27 (12), 125004 (2017). https://doi.org/10.1088/1361-6439/aa9156 JMMIEZ 0960-1317 Google Scholar

29. 

P. Lozano, “MEMS thrusters for nano- and pico-satellites,” MEMS for Automotive and Aerospace Applications, 283 –310 Woodhead Publishing(2013). Google Scholar

30. 

G. Tepper, R. Kessick and D. Pestov, “An electrospray-based, ozone-free air purification technology,” J. Appl. Phys., 102 (11), 113305 (2007). https://doi.org/10.1063/1.2818364 JAPIAU 0021-8979 Google Scholar

31. 

P. C. Lozano et al., “Nanoengineered thrusters for the next giant leap in space exploration,” MRS Bull., 40 (10), 842 –849 (2015). https://doi.org/10.1557/mrs.2015.226 MRSBEA 0883-7694 Google Scholar

32. 

L. F. Velasquez-Garcia, A. I. Akinwande and M. Martinez-Sanchez, “A planar array of micro-fabricated electrospray emitters for thruster applications,” J. Microelectromech. Syst., 15 (5), 1272 –1280 (2006). https://doi.org/10.1109/JMEMS.2006.879710 JMIYET 1057-7157 Google Scholar

33. 

B. Gassend et al., “A microfabricated planar electrospray array ionic liquid ion source with integrated extractor,” J. Microelectromech. Syst., 18 (3), 679 –694 (2009). https://doi.org/10.1109/JMEMS.2009.2015475 JMIYET 1057-7157 Google Scholar

34. 

F. A. Hill et al., “High-throughput ionic liquid ion sources using arrays of microfabricated electrospray emitters with integrated extractor grid and carbon nanotube flow control structures,” J. Microelectromech. Syst., 23 (5), 1237 –1248 (2014). https://doi.org/10.1109/JMEMS.2014.2320509 JMIYET 1057-7157 Google Scholar

35. 

Y. Takao et al., “Microfabrication of a massive emitter array for higher thrust density of ionic liquid electrospray thrusters,” in 35th Int. Electr. Propul. Conf., (2017). Google Scholar

36. 

R. W. Boutte and S. Blair, “Maskless wafer-level microfabrication of optical penetrating neural arrays out of soda-lime glass: Utah optrode array,” Biomed. Microdevices, 18 (6), 115 (2016). https://doi.org/10.1007/s10544-016-0140-5 Google Scholar

37. 

L. Rudmann et al., “Fused silica microlenses for hermetic packages as part of implantable optrodes,” in 37th Annu. Int. Conf. IEEE Eng. Med. and Biol. Soc., 7143 –7146 (2015). https://doi.org/10.1109/EMBC.2015.7320039 Google Scholar

38. 

S. Berry et al., “Fluidic microoptics with adjustable focusing and beam steering for single cell optogenetics,” Opt. Express, 25 (14), 16825 (2017). https://doi.org/10.1364/OE.25.016825 OPEXFF 1094-4087 Google Scholar

39. 

S. R. Berry et al., “Liquid microlenses with adjustable focusing and beam steering for single cell optogenetics,” in Proc. 2017 COMSOL Conf., (2017). Google Scholar

Biography

Melissa A. Smith is a member of the technical staff in the Advanced Materials and Microsystems Group at MIT Lincoln Laboratory. She received her BS degree in materials science and engineering from the University of Illinois at Urbana-Champaign in 2006 and her PhD in materials science and engineering from the Massachusetts Institute of Technology in 2012. She is exploring new strategies to build highly capable microsystems and nanoscale devices. She is a member of SPIE.

Shaun Berry is a member of the technical staff in the Advanced Materials and Microsystems Group at MIT Lincoln Laboratory. He received his BS degree in mechanical engineering from Northeastern University and his MS and PhD degrees in mechanical engineering from Tufts University. He works on a variety of MEMS and microfluidic projects, which includes developing MEMS microswitches, variable focus liquid lenses, micropumps, nonmechanical beam steering devices, active optical devices, communications, and microhydraulics.

Ronald Lockwood is a member of the technical staff of the Applied Space Systems Group at MIT Lincoln Laboratory. He received his BA degree in physics and history from Texas Tech University, Lubbock, and his MS and PhD degrees in physics from the University of Wisconsin at Madison. He focuses on imaging spectrometer development, characterization, and application. He is a coauthor, with Dimitris Manolakis and Thomas Cooley, of Hyperspectral Imaging Remote Sensing (Cambridge University Press, 2016).

Mordechai Rothschild is the leader of the Advanced Materials and Microsystems Group at Lincoln Laboratory. He was a pioneer in the development of 193-nm and liquid-immersion lithography. He is the recipient of the 2014 SPIE Frits Zernike Award in Lithography and of the 2015 Edwin H. Land medal awarded jointly by the Society for Imaging Science and Technology and OSA. He received his BS degree in physics from Bar-Ilan University and his PhD in optics from the University of Rochester.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Melissa A. Smith, Shaun Berry, Lalitha Parameswaran, Christopher Holtsberg, Noah Siegel, Ronald Lockwood, Michael P. Chrisp, Daniel Freeman, and Mordechai Rothschild "Design, simulation, and fabrication of three-dimensional microsystem components using grayscale photolithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(4), 043507 (19 November 2019). https://doi.org/10.1117/1.JMM.18.4.043507
Received: 19 August 2019; Accepted: 18 October 2019; Published: 19 November 2019
Lens.org Logo
CITATIONS
Cited by 18 scholarly publications and 1 patent.
Advertisement
Advertisement
KEYWORDS
Photomasks

Optical lithography

Etching

Microsystems

Grayscale lithography

Device simulation

Photoresist processing

Back to Top