1 July 2011 Extreme-ultraviolet secondary electron blur at the 22-nm half pitch node
Author Affiliations +
Abstract
In this paper the Arrhenius behavior of blur upon extreme ultraviolet (EUV) exposure is investigated through variation of the post-exposure bake (PEB) temperature. In this way, thermally activated parameters that contribute to blur (such as acid/base diffusion) can be separated from nonthermally activated parameters (such as secondary electron blur). The experimental results are analyzed in detail using multiwavelength resist modeling based on the continuum approach and through fitting of the EUV data using stochastic resist models. The extracted blur kinetics display perfectly linear Arrhenius behavior, indicating that there is no sign for secondary electron blur at 22-nm half pitch. At the lowest PEB setting the total blur length is ∼4 nm, indicating that secondary electron blur should be well below that. The stochastic resist model gives a best fit to the current data set with parameters that result in a maximum probability of acid generation at 2.4 nm from the photon absorption site. Extrapolation of the model predicts that towards the 16-nm half pitch the impact on sizing dose is minimal and an acceptable exposure latitude is achievable. In order to limit the impact on linewidth roughness at these dimensions it will be required to control acid diffusion to ∼5 nm.
©(2011) Society of Photo-Optical Instrumentation Engineers (SPIE)
Roel Gronheid, Todd R. Younkin, Michael J. Leeson, Carlos Fonseca, Joshua S. Hooge, Kathleen Nafus, John J. Biafore, and Mark D. Smith "Extreme-ultraviolet secondary electron blur at the 22-nm half pitch node," Journal of Micro/Nanolithography, MEMS, and MOEMS 10(3), 033004 (1 July 2011). https://doi.org/10.1117/1.3607429
Published: 1 July 2011
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Data modeling

Extreme ultraviolet

Diffusion

Line width roughness

Stochastic processes

Extreme ultraviolet lithography

Polymers

RELATED CONTENT

Resist pattern prediction at EUV
Proceedings of SPIE (March 20 2010)
EUV secondary electron blur at the 22nm half pitch node
Proceedings of SPIE (March 25 2011)
Out-of-band insensitive polymer-bound PAG for EUV resist
Proceedings of SPIE (March 22 2012)
Pattern prediction in EUV resists
Proceedings of SPIE (December 11 2009)

Back to Top