Open Access
11 June 2013 Extreme ultraviolet mask defect inspection with a half pitch 16-nm node using simulated projection electron microscope images
Author Affiliations +
Abstract
According to an International Technology Roadmap for Semiconductors (ITRS-2012) update, the sensitivity requirement for an extreme ultraviolet (EUV) mask pattern inspection system is to be less than 18 nm for half pitch (hp) 16-nm node devices. The inspection sensitivity of extrusion and intrusion defects on hp 64-nm line-and-space patterned EUV mask were investigated using simulated projection electron microscope (PEM) images. The obtained defect images showed that the optimization of current density and image processing techniques were essential for the detection of defects. Extrusion and intrusion defects 16 nm in size were detected on images formed by 3000 electrons per pixel. The landing energy also greatly influenced the defect detection efficiency. These influences were different for extrusion and intrusion defects. These results were in good agreement with experimentally obtained yield curves of the mask materials and the elevation angles of the defects. These results suggest that the PEM technique has a potential to detect 16-nm size defects on an hp 64-nm patterned EUV mask.

1.

Introduction

Extreme ultraviolet (EUV) lithography is a promising technique for a post-2×-nm generation lithography. Pattern inspection is one of the key issues to be addressed in the fabrication of devices of half pitch (hp) 16 nm and beyond. As for pattern inspection in a 2×-nm EUV mask, encouraging results have been demonstrated.1 The system cited here shows sensitivity capable of detecting a 20-nm pattern defect size by using a programmed defect mask (PDM). As prescribed by the International Technology Roadmap for Semiconductors (ITRS-2012) update, a sensitivity requirement of less than 18 nm would be necessary for hp 16-nm node devices. So far, pattern inspection technology has employed deep ultraviolet (DUV) light sources,2 but continued shrinkage of pattern size has caused difficulties in detecting small defects. It is well known that improvement in image resolution can be realized by using an electron beam, as used in a scanning electron microscope (SEM)-type inspection system, but because of the very small electron beam spot size used in such a system, it takes too much time for inspection. Therefore, we have been developing a projection electron microscope (PEM)3 for pattern inspection, and we have evaluated its feasibility.46 To accelerate this development program, a better understanding of image formation in PEM and improved prediction capability have become essential.7 In recent times, the accuracy of simulations using advanced Monte Carlo methods has improved significantly. These simulations take into account the charging and discharging effects, electromagnetic fields, detector configurations, and so on.812 The simulated results are consistent with experimental results and can describe the physical phenomena of EUV mask imaging and metrology.11,12 In this study, we describe defect detection using simulated PEM image by Monte Carlo simulation.

2.

Experimental

To simulate a defect inspection with a PEM technique, simulated images were obtained using CHARIOT Monte Carlo software (Abeam Technologies, Inc.).13 Software with 72 cores was installed in an all-in-one server computer, Proliant DL 980 G2 (Hewlett Packard), with 80 cores. Figure 1 shows schematic representations of a sample EUV mask used for the simulation. On this mask, defects with various sizes were fabricated on hp 64-nm line-and-space (L/S) patterns. Ta-based absorber layers with a 66-nm thickness were fabricated on EUV reflective multilayers (MLs) capped with 2.5-nm thick Ru. The MLs comprised 40 pairs of 3-nm thick Mo and 4-nm thick Si. The thicknesses of the defects were 66 nm, which were same as that of the absorber layers. The sizes of the defects were 64×64nm, 32×32nm, 22×22nm, and 16×16nm. According to the ITRS-2012 update, the defect size on the EUV mask is defined as the square root of the defect area on a 2-D mask surface. Because all the defects in this study were square, hereafter, we refer to them as 64-, 32-, 22-, and 16-nm defects. A square shaped collimated beam, 2×2μm in size, was used to demonstrate the PEM technique. To obtain the simulated image, a 0.8×0.8μm-sized image detector with a pixel size of 16×16nm was placed 40 nm away from the surface of the sample. In the real application, PEM image quality strongly depends upon the property of imaging optics. We have already reported that the PEM image of a hp 64-nm L/S pattern with a contrast of 0.5 can be experimentally obtained using our developing tool by improving its electron beam optics.4,5 In this simulation, the image contrast can be controlled by adjusting the distance between the image detector and the sample surface, because the simulated secondary electron image blurs as the distance becomes large. And, the image contrast of an hp 64-nm L/S pattern was confirmed to be 0.5 when the distance was 40 nm in this simulation. Therefore, the image detector was placed 40 nm away from the surface of the sample in order to demonstrate the same contrast as the experimentally obtained image. To investigate the dependency of the number of electrons per pixel on the defect inspection, the current densities of 2.5×104, 2.5×103, and 1.5×102A/cm2, all with same dwell time of 1 ms, were used. Because the pixel size of the detector was 16×16nm, the average number of electrons per pixel in each current density corresponded to 50, 500, and 3000 electrons per pixel, respectively. Primary electrons with energies of 50, 250, 500, 1000, and 3000 eV were used to investigate the influence of the landing energies on the defect inspection. In the PEM system, only the secondary electrons with energies less than 50 eV can be selectively focused onto the detector by using electron energy filters in a real application. Therefore, the energy range of the detector was set from 0 to 50 eV to detect only those secondary electrons and to remove any influence of elastically backscattered electrons on the image, which may have similar energies to that of the primary electrons if the primary electrons of more than 250 eV were used. To improve the reliability of the simulation result, secondary electron yield curves of the utilized materials were applied for the calibration of experimental data. The difference between the simulated PEM image with defects and that without defects is defined as a difference image. To define the sensitivity of defect detection, we identified the intensity peak in the difference image with more than 10 times the intensity of the standard deviation of the background intensity levels as a defect. To enhance the detect signal intensities, image processing operations were applied to the simulated image.

Fig. 1

Schematic representations of a sample EUV mask used for the simulation. Top views of the sample are shown in three drawings: (a) with extrusion defects, (b) with intrusion defects, and (c) with no defects. Cross-sectional views of the sample are shown in two drawings: (d) with extrusion defects and (e) with intrusion defects.

JM3_12_2_023013_f001.png

3.

Results and Discussion

3.1.

Secondary Electron Emission Coefficient of EUV Mask

Figure 2 shows secondary electron emission coefficients (SEECs) of a Ta-based absorber layer and Ru-capped ML. These yield curves of the absorber layer and ML showed peaks at 400 and 300 eV, respectively. Simulated results corresponded well to experimental results. Figure 3 shows the experimental SEEC difference (which is calculated by subtracting SEEC of ML from that of the absorber layer) as a function of landing energy. This result shows that the experimental SEEC difference between the absorber layer and ML have a peak near 1000 eV.

Fig. 2

Secondary electron emission coefficients of the Ta-based absorber layer and Ru-capped multilayer (ML) as a function of landing energy.

JM3_12_2_023013_f002.png

Fig. 3

Experimental secondary electron emission coefficient (SEEC) difference (which was calculated by subtracting SEEC of ML from that of the absorber layer), as a function of landing energy.

JM3_12_2_023013_f003.png

3.2.

Simulated Inspection of Extrusion Defects and Effect of Image Processing

Figure 4 shows the simulated PEM image with defects. In the case of 50 electrons per pixel, hp 64-nm L/S patterns could be resolved, but only the 64-nm bridge defect could be identified. On the other hand, smaller defects became identifiable as the current density increased. Figure 5 shows the difference image between a simulated PEM image with defects (which is shown in Fig. 4) and that without defects before image processing. In the case of 50 electrons per pixel, there appeared no defect signal with peak intensity 10 times higher than that of the standard deviation (10σ). On the other hand, in the case of 500 and 3000 electrons per pixel, a 64-nm bridge defect with intensity higher than 10σ was observed. Although the smaller defect could also be identified, the signal intensity was less than 10σ. This result shows that the 64-nm bridge defect was detected as the current density increased. It also indicates that image processing for enhancing defect signal is needed to detect the smaller sized defects. To detect the smaller sized defects, image processing operations were applied to the simulated image. The smoothed images, both with and without defects, were obtained after image processing, as shown in Fig. 6. Figure 7 shows the enhanced difference image between the simulated PEM image with defects and that without defects after image processing. These figures clearly show that the defect signals were successfully enhanced. It should be noted that the 16-nm defect was detected in the case of 3000 electrons per pixel. This result indicates that the optimization of current density and the image processing techniques are essential for defect detection. To optimize the inspection condition, the landing energy was varied from 50 to 3000 eV. The influence of the landing energy on the defect detection is shown in Fig. 8. This result clearly shows that the landing energy also greatly influenced the sensitivity of defect detection. Figure 9 shows the peak intensity of the extrusion defect signal as a function of landing energy. The defect signal reached a maximum value at the landing energy of 1000 eV in each size of the defects. This tendency is in a good agreement with the experimentally obtained SEEC difference between the absorber layer and ML, as shown in Fig. 3. This result validates the results of simulation. It should be noted that all defects were detected with more than 10σ.

Fig. 4

Simulated projection electron microscope (PEM) images with extrusion defects in the cases of (a) 50, (b) 500, and (c) 3000 electrons per pixel with a landing energy of 1000 eV.

JM3_12_2_023013_f004.png

Fig. 5

The difference image defined as the difference between simulated PEM images with defects and without defects before image processing. The inspection conditions were (a) 50, (b) 500, and (c) 3000 electrons per pixel with the landing energy of 1000 eV.

JM3_12_2_023013_f005.png

Fig. 6

Simulated PEM images (a) with extrusion defects and (b) without any defect after image processing for enhancing defect signal in the case of 3000 electrons per pixel with a landing energy of 1000 eV.

JM3_12_2_023013_f006.png

Fig. 7

Enhanced difference image between simulated PEM image with and without defects after the image processing. The inspection conditions were (a) 50, (b) 500, and (c) 3000 electrons per pixel with a landing energy of 1000 eV.

JM3_12_2_023013_f007.png

Fig. 8

Dependence of extrusion defects image with 3000 electrons per pixel on the landing energy. The landing energy was (a) 50 eV, (b) 250 eV, (c) 500 eV, (d) 1000 eV, and (e) 3000 eV.

JM3_12_2_023013_f008.png

Fig. 9

The extrusion defect signal intensity as a function of landing energy with 3000 electrons per pixel.

JM3_12_2_023013_f009.png

3.3.

Simulated Inspection of Intrusion Defects

Figure 10 shows the difference image between simulated PEM image with intrusion defects and that without any defect after image processing. It should be noted that the 16-nm intrusion defect was detected in the cases of 250, 500, and 1000 eV. A defect of this size can be detected only with 1000 eV in the case of an extrusion defect. Figure 11 shows the intrusion defect signal intensity as a function of landing energy. The landing energy with a maximum value of the defect signal was shifted to lower energy as the defect size decreased. The defect signal reached a maximum value at 1000 eV in a 64-nm defect, but in a 16-nm defect it reached a maximum value at 250 eV. This phenomenon can be explained by the yield curves of the mask materials and the elevation angle of the defect, as shown in Fig. 12. In the case of an intrusion defect, because the aspect ratio of the smaller defect becomes higher, the elevation angle of the defect becomes narrower as defect size gets smaller. Therefore, signal from the bottom of the intrusion defect becomes weaker. On the other hand, the signal around the defect generated from the absorber layer does not change even if the defect size becomes smaller. As a result, the defect signal curve corresponds to the yield curve of the absorber layer as shown in Fig. 2 when the defect size is comparatively small. And when the defect size is comparatively large, this behavior then corresponds to the curve of SEEC difference as shown in Fig. 3.

Fig. 10

Dependence of intrusion defects image with 3000 electrons per pixel on the landing energy. The landing energy was (a) 50 eV, (b) 250 eV, (c) 500 eV, (d) 1000 eV, and (e) 3000 eV.

JM3_12_2_023013_f010.png

Fig. 11

The intrusion defect signal intensity as a function of landing energy with 3000 electrons per pixel.

JM3_12_2_023013_f011.png

Fig. 12

The schematic explanation of elevation angles of the intrusion defects. Solid lines and dotted lines represent primary electrons and secondary electrons, respectively.

JM3_12_2_023013_f012.png

4.

Summary and Conclusions

The inspection sensitivity of extrusion and intrusion defects on hp 64-nm L/S patterned EUV mask was investigated using simulated PEM image. The optimization of current density and the image processing techniques were essential for defect detection. The extrusion defect with 16 nm in size was detected in the case of an image with 3000 electrons per pixel by enhancing the signal using image processing. The landing energy also greatly influenced the detection of a defect. The extrusion defect signal reached a maximum value at a landing energy of 1000 eV in each size of the defects. This tendency is in good agreement with the experimentally obtained SEEC difference between absorber layer and ML. On the other hand, in the case of an intrusion defect, the landing energy with a maximum value of the defect signal shifted to lower energy as the defect size decreased. The defect signal reached a maximum value at 1000 eV in 64-nm defect but in 16-nm defect the signal reached a maximum value at 250 eV. This phenomenon can be explained using yield curves of the mask materials and the elevation angle of the defects. These results suggest that PEM technique has a potential to detect 16-nm-size defects on an hp 64-nm patterned EUV mask.

Acknowledgments

This work was supported by New Energy and Industrial Technology Development Organization (NEDO).

References

1. 

S. Yamaguchiet al., “Performance of EBeyeM for EUV mask inspection,” Proc. SPIE, 8166 81662F (2011). http://dx.doi.org/10.1117/12.898790 PSISDG 0277-786X Google Scholar

2. 

H. Hashimotoet al., “Development of a new mask pattern inspection tool NPI-7000, and applied results to EUV mask inspection,” Proc. SPIE, 8441 844117 (2012). http://dx.doi.org/10.1117/12.973655 PSISDG 0277-786X Google Scholar

3. 

M. Miyoshiet al., “Electron beam inspection system based on the projection imaging electron microscope,” J. Vac. Sci. Technol. B, 19 (6), 2852 –2855 (2001). http://dx.doi.org/10.1116/1.1421561 JVTBD9 0734-211X Google Scholar

4. 

R. Hiranoet al., “Study of EUV mask inspection using projection EB optics with programmed pattern defect,” Proc. SPIE, 8441 84411G (2012). http://dx.doi.org/10.1117/12.978240 PSISDG 0277-786X Google Scholar

5. 

R. Hiranoet al., “Development of extreme ultraviolet mask pattern inspection technology using projection electron beam optics,” J. Micro/Nanolithogr. MEMS MOEMS, 12 (2), 021003 (2013). http://dx.doi.org/10.1117/1.JMM.12.2.021003 JMMMHG 1932-5150 Google Scholar

6. 

M. Hatakeyamaet al., “Development of novel projection electron microscopy (PEM) system for EUV mask inspection,” Proc. SPIE, 8441 844116 (2012). http://dx.doi.org/10.1117/12.978633 PSISDG 0277-786X Google Scholar

7. 

S. Iidaet al., “Identification of residual-type defect on extreme ultraviolet mask by projection electron microscope using Monte Carlo simulation,” J. Vac. Sci. Technol. B, 30 (6), 06F503 (2012). http://dx.doi.org/10.1116/1.4758924 JVTBD9 0734-211X Google Scholar

8. 

H. Abeet al., “Contrast reversal effect in scanning electron microscopy due to charging,” J. Vac. Sci. Technol. B, 27 (3), 1039 –1042 (2009). http://dx.doi.org/10.1116/1.3114486 JVTBD9 0734-211X Google Scholar

9. 

S. Babinet al., “Simulation of scanning electron microscope images taking into account local and global electromagnetic fields,” J. Vac. Sci. Technol. B, 28 (6), C6C41 –C6C47 (2010). http://dx.doi.org/10.1116/1.3518917 JVTBD9 0734-211X Google Scholar

10. 

S. Babinet al., “CD-SEM and E-beam defect inspection of high aspect ratio contact holes: measurement and simulation of pre-charge,” Proc. SPIE, 8324 832428 (2012). http://dx.doi.org/10.1117/12.916441 PSISDG 0277-786X Google Scholar

11. 

Y. Nishiyamaet al., “Influence of the charging effect on the precision of measuring EUV mask features,” Proc. SPIE, 7971 79710C (2011). http://dx.doi.org/10.1117/12.878728 PSISDG 0277-786X Google Scholar

12. 

S. Babinet al., “CD-metrology of EUV masks in the presence of charging: measurement and simulation,” Proc. SPIE, 8441 844108 (2012). http://dx.doi.org/10.1117/12.999462 PSISDG 0277-786X Google Scholar

13. 

S. Babinet al., “CHARIOT: software tool for modeling SEM signal and e-beam lithography,” Phys. Procedia, 1 305 –313 (2008). http://dx.doi.org/10.1016/j.phpro.2008.07.110 PPHRCK 1875-3892 Google Scholar

Biography

JM3_12_2_023013_d001.png

Susumu Iida received his BS and MS degrees in 1995 and 1997, respectively, and in 2000, he earned his PhD in electronics, all from Shizuoka University, Japan. He joined the Research and Development Center, Toshiba Corporation, where he carried out research on GaN-based blue laser diodes. In 2006, he was assigned to Advanced Mask Inspection Technology Corporation (AMiT), where he carried out the development of light source and optics of DUV defect inspection tools. In 2011, he was assigned to EUVL Infrastructure Development Center, Inc. (EIDEC), and since then he has been engaged in the development of patterned mask inspection.

JM3_12_2_023013_d002.png

Tsuyoshi Amano received his BS and MS degrees in applied chemistry from Keio University in 1997 and 1999, respectively. He joined Dai Nippon Printing Co. Ltd., where he carried out research on mask process, metrology, and repair technology. In 2011, he was assigned to EIDEC, and since then he has been engaged in the development of patterned masks and blank inspection tools.

JM3_12_2_023013_d003.png

Ryoichi Hirano received his BS and MS degrees in instrumentation engineering from Keio University in 1984 and 1986, respectively. He joined Toshiba Corporation, where he carried out research on semiconductor equipment technology. In 2011, he was assigned to EIDEC, and since then he has been engaged in the development of patterned mask inspection.

JM3_12_2_023013_d004.png

Tsuneo Terasawa received his BS and MS degrees in mechanical engineering from Tohoku University, Miyagi, Japan, in 1977 and 1979, respectively. He also received a PhD from Kyushu Institute of Technology, Fukuoka, Japan in 1996. He joined the Central Research Laboratory, Hitachi Ltd., in 1979 and worked on the development of optical lithography tool and mask technologies. From 2001 to 2006, he was responsible for the development of lithography-related inspection and metrology technologies at a national consortium under the Millennium Research for Advanced Information Technology (MIRAI) project. From 2006 to 2011, he worked on the development of extreme ultraviolet lithography (EUVL) mask blank inspection tool technology at Selete. In 2011, he joined Dai Nippon Printing Co. Ltd. and since then he has been working on the development of inspection technologies for EUVL blank and mask at EIDEC.

JM3_12_2_023013_d005.png

Hidehiro Watanabe received his BS and MS degrees in mineralogy from the University of Tokyo in 1983 and 1985, respectively. He joined Toshiba Corporation, where he carried out his engineering duties in the area of photo masks. In 2011, he was assigned to EIDEC, and since then he has been working as a general manager of advanced mask research department.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Susumu Iida, Tsuyoshi Amano, Ryoichi Hirano, Tsuneo Terasawa, and Hidehiro Watanabe "Extreme ultraviolet mask defect inspection with a half pitch 16-nm node using simulated projection electron microscope images," Journal of Micro/Nanolithography, MEMS, and MOEMS 12(2), 023013 (11 June 2013). https://doi.org/10.1117/1.JMM.12.2.023013
Published: 11 June 2013
Lens.org Logo
CITATIONS
Cited by 16 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Defect detection

Photomasks

Inspection

Defect inspection

Image processing

Monte Carlo methods

Back to Top