12 July 2016 Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope
Author Affiliations +
Abstract
The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’s Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. The anamorphic images show the same image quality in the horizontal and vertical directions.
© 2016 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2016/$25.00 © 2016 SPIE
Markus P. Benk, Antoine Wojdyla, Weilun Chao, Farhad H. Salmassi, Sharon R. Oh, Yow-Gwo Wang, Ryan H. Miyakawa, Patrick P. Naulleau, and Kenneth A. Goldberg "Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope," Journal of Micro/Nanolithography, MEMS, and MOEMS 15(3), 033501 (12 July 2016). https://doi.org/10.1117/1.JMM.15.3.033501
Published: 12 July 2016
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Microscopes

Extreme ultraviolet lithography

Semiconducting wafers

Modulation

Scanners

RELATED CONTENT

New ways of looking at masks with the SHARP EUV...
Proceedings of SPIE (March 16 2015)
Taking a SHARP look at mask 3D effects
Proceedings of SPIE (October 27 2017)
Closing the infrastructure gap status of the AIMS EUV...
Proceedings of SPIE (March 22 2012)

Back to Top