15 July 2016 Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography
Author Affiliations +
Abstract
Extreme ultraviolet lithography (EUVL, λ=13.5  nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365  nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).
© 2016 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2016/$25.00 © 2016 SPIE
Elizabeth Buitrago, Seiji Nagahara, Oktay Yildirim, Hisashi Nakagawa, Seiichi Tagawa, Marieke Meeuwissen, Tomoki Nagai, Takehiko Naruoka, Coen Verspaget, Rik Hoefnagels, Gijsbert Rispens, Gosuke Shiraishi, Yuichi Terashita, Yukie Minekawa, Kosuke Yoshihara, Akihiro Oshima, Michaela Vockenhuber, and Yasin Ekinci "Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 15(3), 033502 (15 July 2016). https://doi.org/10.1117/1.JMM.15.3.033502
Published: 15 July 2016
Lens.org Logo
CITATIONS
Cited by 16 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line width roughness

Extreme ultraviolet lithography

Electroluminescence

Extreme ultraviolet

Scanning electron microscopy

Chemically amplified resists

Lithography

Back to Top