Open Access
6 September 2018 Utilization of metal–polymer interactions for self-aligned directed self-assembly of device relevant features
Author Affiliations +
Abstract
Self-aligned strategies are required because today’s feature sizes are beyond the resolution limit of the exposure tools. One self-aligned strategy is directed self-assembly (DSA), where block copolymers (BCP) are thermodynamically driven to self-align with a lithographically defined template with chemical contrast and/or topography. It would be particularly advantageous to also encode existing structures into thermodynamic information, then thermodynamics would cause BCP to self-align to these existing structures rectifying placement error. These existing features could be cut masks, which are required to fabricate devices from line and space arrays, or they could be interconnects. Here, we show a technique, by which metal–polymer interactions can be used in place of polymer–polymer interactions. These metal–polymer interactions, which cannot be adequately described by conventional surface energy comparisons, allow for a true self-aligned process. We begin by classifying process relevant metals including gold, aluminum, copper, tungsten, and cobalt, based upon their thermodynamic interactions with poly(styrene-block-methyl methacrylate). We then created guide patterns using metal and dielectric line space arrays. These patterns, when combined with DSA, allow for lines and space patterns to be self-aligned to any exposed metal features and reduce process constraints on exposure tools. Our process can also be used to align line and space patterns to metal layers during the back end of the line processing. A similar process could also be used to guide contact hole shrink to correct for placement error in the initial lithographic template.

1.

Introduction

Directed self-assembly (DSA) of block copolymers (BCP) is a density multiplication technique with high throughput and low fabrication cost.14 Unlike conventional lithographic techniques, the ideal DSA process creates nanoscale structures that represent the thermodynamic equilibrium morphology. It is, thus, the only technique in which critical dimension uniformity is thermodynamically guaranteed, a fact that has been looked at for rectification of CDU in EUV processes.5 The thermodynamic landscape is controlled by both the topography of the substrate and its chemical functionality. It has been customary to refer to a topographic-controlled DSA as graphoepitaxy and a chemistry-controlled DSA as chemoepitaxy, but most modern approaches are more correctly termed hybrid DSA as they incorporate both cues.6,7 Integration of these cues into the contact hole-shrink process has already been well explored.5,8,9 Similarly, work has been done to create and integrate DSA for line space array patterns.2,7,1014 Although both integration strategies make use of patterns containing chemical and topographical cues, in both cases these patterns rely on an initial lithographic template to define the pattern. Thus, misalignment between the lithographic template and other device critical layers can result in catastrophic defects. If the device critical layers can be used as the template, this misalignment can be prevented.

In this work, we first investigate the chemical preference of metals for poly(styrene) (PS) and poly(methyl methacrylate) (PMMA) utilizing hole island tests. We then show the thermodynamic landscape formed by a metal/dielectric pattern, analogous to those found in devices, can present a natural pattern for hybrid DSA. Without performing any additional lithography to define a layer, this pattern can be used to self-align BCP for DSA. This could be used to reduce the number of exposures necessary in a given process, or because metals are natural etch masks, could be implemented to create sparse arrays of lines and spaces. We use e-beam lithography to create patterns consisting of line space arrays of alternating metal dielectrics as a simulated active surface. Finally, these simulated active surfaces are used to guide the self-aligned DSA of poly(styrene-block-methyl methacrylate) (PS-b-PMMA).

2.

Methodology

2.1.

Preparation of Substrates

Silicon wafers were purchased from WRS materials. Wafers were hot piranha cleaned (DANGER!) utilizing 70:30 sulfuric acid:hydrogen peroxide (30%) at 130°C. The wafers were placed into the piranha bath for a minimum of 5 min before being cleaned with house DI for several minutes to create a pristine ultrahydrophilic surface. Wafers were used within days of cleaning to prevent reabsorption of organic material. Metal substrates were deposited at a rate of one angstrom per second onto cleaned wafers using the following methodologies: Copper substrates were prepared by thermal evaporation utilizing an Angstrom Nexdep at a base pressure of at least 3×106  Torr. Gold substrates were prepared by an e-beam evaporating a 10-nm chromium adhesion layer and subsequently at least 20 nm of gold using an AJA ATC-Orion 8E with a base pressure of at least 10×107  Torr. Tungsten, cobalt, and aluminum substrates were sputtered utilizing an AJA Orion 5 UHV Sputtering system with a base pressure of at least 10×108  Torr in an argon plasma. All substrates used have whatever native oxide may be present after an hour in an air environment. When it was desired to use the underlying copper, the native oxide was stripped by a 5-min soak in a five-weight percent citric acid solution after which the sample was DI rinsed, N2 dried, and immediately used.

2.2.

Determination of Substrate Wetting

Substrate preferentiality was determined using the hole island test on unpatterned metal substrates. The hole island test consists of spincoating a lamella-forming BCP to a thickness incommensurate with its natural periodicity, L0. At these incommensurate thicknesses, the BCP will terrace to form features that maximize favorable interactions at both the substrate and free interface. In the case of asymmetric wetting, both 0.5 and 1.5 L0 thicknesses maximize the favorable interactions, and thus an incommensurate thickness of 1.25 L0 will result in either one L0 deep holes. Similarly, in the case of symmetric wetting, both 1 and 2 L0 thicknesses are favorable resulting in the formation of one L0 high islands. One can then use optical microscopy to determine the presence of bright “holes” or darker “islands.” Our analysis is aided by the fact that at annealing temperatures below 200°C PS prefers the free interface. This means symmetric wetting indicates that PS wets both the top and bottom interfaces, whereas asymmetric wetting indicates that PMMA wets the bottom interface with PS still at the top interface. The hole island test is, therefore, a definitive probe of the substrate polymer interaction. Pristine substrates water contact angle (WCA) was also measured using millipore DI water in a goniometer. The angle reported was measured 100 ms after the 1  μL drop detached from syringe tip.

2.3.

Preparation of Metal Dielectric Patterns

Gl-2000 was spincoated onto a piranha-cleaned silicon wafer to a thickness of 55 nm as determined by single-angle ellipsometry on a J.A. Woollam Alpha SE, using a Cauchy model. The resist was softbaked at 150°C for 3 min. Patterning was performed using a JEOL 9300 at a voltage of 100 KeV and a current of 1 nA. Exposed wafers were developed for 15 s with gentle swirling in N-amyl acetate and then rinsed in IPA for a minimum of 1 min. Metal was then deposited onto the patterns as described previously. Metal on top of the resist was then lifted off by soaking upside down in NMP at 80°C for 15 min, after which time the sample was placed in fresh NMP and sonicated for a further 10 min at 80°C. Metal dielectric patterns were then rinsed successively with IPA and DI water before being spun dry (Fig. 1).

Fig. 1

A PS-b-PMMA film is spuncoat to a thickness of 1.25 L0. After annealing at a temperature where PS prefers the free interface, the preference of the substrate can be determined by the presence of holes or islands.

JM3_17_3_031204_f001.png

2.4.

Self-Aligned Directed Self-Assembly

PS-b-PMMA with a molecular weight of 22 to 22k and an L0 of 25 nm was spincoated to a thickness of 1.5 L0 as determined by single-angle ellipsometry. The sample was then annealed at 250°C for 5 min inside a glovebox. Post annealing samples were imaged on a Zeiss Merlin through an in-lens detector with an accelerating voltage of 1 kV and a working distance of 3  mm. The PMMA block is subsequently converted to alumina oxide using the sequential infiltration synthesis technique well described elsewhere.1517 Post SIS an oxygen plasma etch was conducted in a Plasma-Therm ICP to remove the PS block as well as any un-infiltrated PMMA. This creates a free-standing alumina oxide template, which can be used for the purposes of pattern transfer.

3.

Results

3.1.

Hole Island Tests Show Metals Can be Nonpreferential for Polymers Despite Large Differences in Surface Energy

Hole island tests were performed on bulk samples as described previously, and optical micrographs were collected as shown in Fig. 2. Piranha-cleaned silicon oxide is known to be PMMA preferential and thus serves as an internal standard. PS-b-PMMA was found to form holes on chromium, cobalt, tungsten, copper, and piranha-cleaned silicon oxide. Copper oxide was found to be weakly PMMA preferential, almost to point of nonpreferentiality as subsequent SEM analysis found large areas of perpendicular assembly. Gold and aluminum had no holes or island indicating the nonpreferential nature of the substrate. SEM inspection revealed extant fingerprint on the gold substrate, whereas the aluminum substrate had far poorer assembly.

Fig. 2

Optical micrographs of a 300 micron wide region captured of hole island tests conducted on substrates of interest. For Cu the native oxide was specifically stripped using citric acid. Inset shows the drop profile used to calculate WCA. Inset SEM image is half micron square and highlights the assembly quality.

JM3_17_3_031204_f002.png

3.2.

Self-Aligned Directed Self-Assembly was Successfully Implemented on Copper Oxide/Silicon Oxide Patterns

Metal dielectric lines were successfully patterned at varying pitches and width across 100 by 100 micron areas utilizing e-beam lithography, thermal evaporation, and lift off as previously described. Copper oxide/silicon oxide alternating patterns were chosen because e-beam evaporation or sputtering deposition techniques alter the e-beam resist making lift off difficult at nanometer length scales. A metal line height of 10 nm was chosen as recent work within our group, which has shown how combining chemoepitaxy with half L0 topography to create a hybrid epitaxial flow can result in orders of magnitude speedup for the DSA process by prohibiting the formation of some defective states.18 This patterns also differs from more pure graphoepitaxial DSA strategies as implemented elsewhere,19 in that the PS-b-PMMA film thickness of 37.5 nm is far above that of the topography, and the bottom of the trench is preferential (silicon oxide) instead of nonpreferential. These patterns were then used to implement a successful self-aligned DSA process. In this implementation a pattern with a copper oxide line width of 1.5 L0 (37.5 nm) was used to the direct the self-assembly of PS-b-PMMA with a natural periodicity of 25 nm. The orientation of the domains relative to the substrate is confirmed via the SIS process. After infiltrating the PMMA domains with alumina and etching out the PS domains, two domains remain on top of the copper stripe. As we show schematically, the resulting structure thus consists of a PS domain centered on top of the metal stripe with two PMMA domains also on top of the stripe. The remaining free-standing alumina stripes can either be used in conjunction with the metal stripe as a hard mask to create self-aligned features or could be utilized as is (Fig. 3).

Fig. 3

(a) SEM image and (b) AFM height image of metal dielectric pattern utilized for self-aligned DSA. Extracted profiles (c) show line height and line height roughness. The self-aligned process was inspected via SEM (d) after assembly, and after the creation of alumina lines for pattern transfer. A schematic (e) showing the process at each inspection step is below for clarity. The pitch of the patterns (100 nm) serves as an internal scale bar.

JM3_17_3_031204_f003.png

4.

Discussion

4.1.

Metal–Polymer Interactions Are Not Explained by Surface Energy or Water Contact Angle Approaches

It is customary to think of substrate polymer interactions in terms of surface energies or solubility parameters,6,20 in which substrates that interact equally with the two blocks are termed nonpreferential. As a demonstration of how sensitive BCPs are to surface free energy, in our hole island test utilized earlier we exploit a 1% difference in surface free energy to ensure that the lower surface free energy polystyrene preferentially wets the free interface. Despite this sensitivity, nonpreferential substrates can be made by creating a random copolymer of the two blocks of the BCP of interest and grafting it to the substrate.10,21,22 This random copolymer naturally has a surface energy between the two blocks and is thus nonpreferential; however, it is well known that metals and their oxides have surface energies at a minimum of 20 times that of many common polymers.23,24 As a result, previous work studying metal deposition into PS-b-PMMA found the equilibrium metal structure formed to be metal spheres in which the metal aggregated to minimize metal–polymer interactions.25 It is, thus, an unexpected result that copper oxide (γ1  J/m2) or gold (γ1.6  J/m2)26 would be nonpreferential for PS (γ40.7  mJ/m2) and PMMA (γ41.1  mJ/m2).20

Another approach often used to analyze the preferentiality of a substrate is that of WCA. This technique allows for the probing of the hydrogen-bonding component of the surface free energy, and trends in a roughly linear manner for random copolymers of PS-b-PMMA. Previously, this technique was used to probe the nature of the LiNe flow chemical pattern after processing, where it was found the preferential XPS guide stripe (AZEMBLY NLD-128) and the nonpreferential backfill brush (AZEMBLY NLD-127, 51% PS) had WCAs of 83.7 deg and 76.0 deg, respectively.6 While we found that all PMMA preferential substrates had WCA below 74 deg, we do note that copper oxide with a WCA of 74 deg is close enough to nonpreferential to be used in DSA. Chromium with a WCA of 78 deg which, by WCA, should be more PS preferential than the nonpreferential backfill brush is instead PMMA preferential.

WCA and surface energy analyses only include information about dispersive, polar, and hydrogen-bonding interactions, which may adequately capture the polymer–polymer interactions as have been previously studied, but are not immediately translatable to metal–polymer interactions. As one example, the apparent nonpreferentiality but poor assembly of the aluminum substrate can be understood through recent work that has demonstrated the ability of PMMA to graft strongly to aluminum through acid—base interactions.27 These strong interactions compete with the assembly kinetics, which traps a poorly assembled morphology. Still they can guide us to potential nonpreferential substrates that we can confirm via the hole-island test. All three metal surfaces, which were nonpreferential, had WCAs above 70 deg and surface free energies lower than 1500  mJ/m2 (Table 1).

Table 1

The preferentiality of each substrate as determined via the hole island test, as well as the pristine substrates WCA and surface free energy. The surface free energy metals presented is those previously summarized by Vitos et al.26 *The water contact of piranha-cleaned SiOx though 10 deg after 100 ms, quickly approaches 0 deg as the water drop wets the entire surface of the wafer.

MaterialPreferentialityWCA (deg)Surface free energy (mJ/m2)
AUNonpreferential941400
AlNonpreferential831140
CuOxWeakly PMMA741300
WOxPMMA822350
CoPMMA732520
WPMMA453400
CuPMMA351650
SiOxPMMA10*

4.2.

Self-Aligned DSA is Complementary to Other Self-Aligned Strategies and Can Correct for Placement Error

Self-aligned DSA is complementary to the family of self-aligned strategies already developed by industry including self-aligned double patterning28 and self-aligned contacts.29 Self-aligned double patterning produces density multiplied features that are self-aligned to a lithographically defined template yielding intralayer aligned features. Self-aligned contacts are created by covering transistor gates in an insulating cap allowing for source/drain contacts to be made without shorting to the gate. In contrast to these strategies, our work utilizes self-alignment to align one device layer with lithographically defined features on the layer below it. Our work further serves as an expansion of recently published work by IBM and Tel.30 Their work utilizes an organic planarization layer, which allows for similar creation of sparse features. They also highlight the importance of DSA’s thermodynamically driven CDU, where our work differs is in the use of materials. We utilize dielectric and metal patterns without the need for brush grafting. Through the use of metal patterns, we also allow for the possibility of self-alignment of a set of features to an existing patterned feature. With many processes now potentially requiring metal traces even below the active layer, self-aligned DSA could present a more tolerant path towards patterning transistors. These self-aligned strategies can also be utilized to create cut masks, which must align to metal dielectric patterns.

5.

Conclusions

In this work, we have successfully probed the wetting characteristics of different metals and metal oxides useful to the semiconductor industry. We have shown substrates such as metals and their oxides, which have surface energies more than 20 times those of PS or PMMA can still be nonpreferential to PS-b-PMMA. Nonpreferential substrates discovered in this work had lower surface energies and specifically lower polar surface energies as measured via WCA that will guide future studies. We have utilized e-beam lithography, thermal evaporation and lift off to create metal dielectric patterns that are analogous to those that might be found in a device layer. We then used the knowledge we gained about the wetting behaviors of these substrates, along with our patterns to successfully perform DSA without any brush deposition. The DSA resulted in PS-b-PMMA lamella with PS domains self-aligned to the metal line. We further performed SIS and oxygen plasma to create a pattern of features that could be useful for either pattern transfer or as final device features.

Acknowledgments

This research was supported in part by SRC through Nanomanufacturing Materials and Processes 2673.001. Use of the Center for Nanoscale Materials, an Office of Science user facility, was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. We acknowledge the MRSEC Shared User Facilities at the University of Chicago (NSF DMR-1420709). This work made use of the Pritzker Nanofabrication Facility of the Institute for Molecular Engineering at the University of Chicago, which receives support from Soft and Hybrid Nanotechnology Experimental (SHyNE) Resource (NSF ECCS-1542205), a node of the National Science Foundation’s National Nanotechnology Coordinated Infrastructure. This work was previously presented at SPIE Advanced Lithography.31

References

1. 

R. Ruiz et al., “Density multiplication and improved lithography by directed block copolymer assembly,” Science, 321 (5891), 936 –939 (2008). https://doi.org/10.1126/science.1157626 AAMICKSCIEAS 1944-82440036-8075 Google Scholar

2. 

M. P. Stoykovich et al., “Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries,” ACS Nano, 1 (3), 168 –175 (2007). https://doi.org/10.1021/nn700164p ANCAC3 1936-0851 Google Scholar

3. 

J. Cushen et al., “Double-patterned sidewall directed self-assembly and pattern transfer of sub-10 nm PTMSS-b-PMOST,” ACS Appl. Mater. Interfaces, 7 (24), 13476 –13483 (2015). https://doi.org/10.1021/acsami.5b02481 AAMICK 1944-8244 Google Scholar

4. 

D. P. Sanders et al., “Integration of directed self-assembly with 193 nm lithography,” J. Photopolym. Sci. Technol., 23 (1), 11 –18 (2010). https://doi.org/10.2494/photopolymer.23.11 JSTEEW 0914-9244 Google Scholar

5. 

R. Gronheid et al., “Rectification of EUV-patterned contact holes using directed self-assembly,” Proc. SPIE, 8682 86820A (2013). https://doi.org/10.1117/12.2012667 PSISDG 0277-786X Google Scholar

6. 

L. D. Williamson et al., “Three-tone chemical patterns for block copolymer directed self-assembly,” ACS Appl. Mater. Interfaces, 8 (4), 2704 –2712 (2016). https://doi.org/10.1021/acsami.5b10562 Google Scholar

7. 

G. Blachut et al., “A hybrid chemo-/grapho-epitaxial alignment strategy for defect reduction in sub-10 nm directed self-assembly of silicon-containing block copolymers,” Chem. Mater., 28 (24), 8951 –8961 (2016). https://doi.org/10.1021/acs.chemmater.6b03633 LANGD5CMATEX 0743-74630897-4756 Google Scholar

8. 

H. Yi et al., “A general design strategy for block copolymer directed self-assembly patterning of integrated circuits contact holes using an alphabet approach,” Nano Lett., 15 805 –812 (2015). https://doi.org/10.1021/nl502172m NALEFD 1530-6984 Google Scholar

9. 

R. Gronheid et al., “Readying directed self-assembly for patterning in semi-conductor manufacturing,” J. Photopolym. Sci. Technol., 26 (6), 779 –791 (2013). https://doi.org/10.2494/photopolymer.26.779 JSTEEW 0914-9244 Google Scholar

10. 

C.-C. Liu et al., “Chemical patterns for directed self-assembly of Lamellae-forming block copolymers with density multiplication of features,” Macromolecules, 46 (4), 1415 –1424 (2013). https://doi.org/10.1021/ma302464n MAMOBX 0024-9297 Google Scholar

11. 

P. F. Nealey et al., Directed Assembly of Block Copolymer Films Between a Chemically Patterned Surface and a Second Surface, Wisconsin Alumni Research FoundationHitachi Ltd., Madison, WisconsinTokyo (2013). Google Scholar

12. 

H. S. Suh et al., “Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat,” Nat. Nanotechnol., 12 (6), 575 –581 (2017). https://doi.org/10.1038/nnano.2017.34 NNAABX 1748-3387 Google Scholar

13. 

C.-C. Liu et al., “Towards an all-track 300 mm process for directed self-assembly,” J. Vac. Sci. Technol. B, 29 (6), 06F203 (2011). https://doi.org/10.1116/1.3644341 Google Scholar

14. 

P. A. Rincon Delgadillo et al., “All track directed self-assembly of block copolymers: process flow and origin of defects,” Proc. SPIE, 8323 83230D (2012). https://doi.org/10.1117/12.916410 PSISDG 0277-786X Google Scholar

15. 

C. Cummins et al., “Strategies for inorganic incorporation using neat block copolymer thin films for etch mask function and nanotechnological application,” Adv. Mater., 28 5586 –5618 (2016). https://doi.org/10.1002/adma.v28.27 ADVMEW 0935-9648 Google Scholar

16. 

T. Segal-Peretz et al., “Characterizing the three-dimensional structure of block copolymers via sequential infiltration synthesis and scanning transmission electron tomography,” ACS Nano, 9 (5), 5333 –5347 (2015). https://doi.org/10.1021/acsnano.5b01013 ANCAC3 1936-0851 Google Scholar

17. 

Y.-C. Tseng et al., “Enhanced block copolymer lithography using sequential infiltration synthesis,” J. Phys. Chem. C, 115 (36), 17725 –17729 (2011). https://doi.org/10.1021/jp205532e JPCCCK 1932-7447 Google Scholar

18. 

J. Ren et al., “Engineering the kinetics of directed self-assembly of block copolymers toward fast and defect-free assembly,” ACS Appl. Mater. Interfaces, (2018). https://doi.org/10.1021/acsami.8b05247 Google Scholar

19. 

H. Tsai et al., “Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication,” ACS Nano, 8 (5), 5227 –5232 (2014). https://doi.org/10.1021/nn501300b ANCAC3 1936-0851 Google Scholar

20. 

H.-S. Suh et al., “Orientation of block copolymer resists on interlayer dielectrics with tunable surface energy,” Macromolecules, 43 (1), 461 –466 (2010). https://doi.org/10.1021/ma901987q MAMOBX 0024-9297 Google Scholar

21. 

E. Han and P. Gopalan, “Cross-linked random copolymer mats as ultrathin nonpreferential layers for block copolymer self-assembly,” Langmuir, 26 (2), 1311 –1315 (2010). https://doi.org/10.1021/la902483m Google Scholar

22. 

I. In et al., “Side-chain-grafted random copolymer brushes as neutral surfaces for controlling the orientation of block copolymer microdomains in thin films,” Langmuir, 22 (18), 7855 –7860 (2006). https://doi.org/10.1021/la060748g LANGD5 0743-7463 Google Scholar

23. 

A. Soon et al., “Thermodynamic stability and structure of copper oxide surfaces: a first-principles investigation,” Phys. Rev. B, 75 (12), 125420 (2007). https://doi.org/10.1103/PhysRevB.75.125420 Google Scholar

24. 

H. L. Skriver and N. M. Rosengaard, “Surface energy and work function of elemental metals,” Phys. Rev. B, 46 (11), 7157 –7168 (1992). https://doi.org/10.1103/PhysRevB.46.7157 Google Scholar

25. 

W. A. Lopes and H. M. Jaeger, “Hierarchical self-assembly of metal nanostructures on diblock copolymer scaffolds,” Nature, 414 (6865), 735 –738 (2001). https://doi.org/10.1038/414735a Google Scholar

26. 

L. Vitos et al., “The surface energy of metals,” Surf. Sci., 411 (1–2), 186 –202 (1998). https://doi.org/10.1016/S0039-6028(98)00363-X SUSCAS 0039-6028 Google Scholar

27. 

S. Pletincx et al., “Unravelling the chemical influence of water on the PMMA/aluminum oxide hybrid interface in situ,” Sci. Rep., 7 (1), 13341 (2017). https://doi.org/10.1038/s41598-017-13549-z SRCEC3 2045-2322 Google Scholar

28. 

M. E. Mason et al., “Self-aligned double patterning (SADP) compliant design flow,” Proc. SPIE, 8327 832706 (2012). https://doi.org/10.1117/12.917775 PSISDG 0277-786X Google Scholar

29. 

M. T. Bohr et al., Self-Aligned Contacts, Intel Corporation(2013). Google Scholar

30. 

C.-C. Liu et al., “DSA patterning options for FinFET formation at 7nm node,” Proc. SPIE, 9777 97770R (2016). https://doi.org/10.1117/12.2219670 Google Scholar

31. 

M. Dolejsi and P. Nealey, “Utilization of metal-polymer interactions for self-aligned directed self-assembly of device relevant features,” Proc. SPIE, 10586 105860P (2018). https://doi.org/10.1117/12.2300859 PSISDG 0277-786X Google Scholar

Biography

Moshe Dolejsi is a PhD candidate in professor Nealey’s group studying integration of new materials and methods for DSA. He received his bachelor’s degree in chemical engineering from North Carolina State University with honors and a concentration in nanoscience.

Paul Nealey is a pioneer of directed self-assembly, which is becoming very important in microelectronics processing to create patterns for integrated circuits. He holds 14 patents and is the author of more than 180 publications. He is a member of the National Academy of Engineering, and his honors include fellowship in the American Physical Society, the 2010 Nanoscale Science and Engineering Forum Award from the American Institute of Chemical Engineers, and a 2009 Inventor Recognition Award from Semiconductor Research Corporation.

© 2018 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2018/$25.00 © 2018 SPIE
Moshe Dolejsi and Paul Nealey "Utilization of metal–polymer interactions for self-aligned directed self-assembly of device relevant features," Journal of Micro/Nanolithography, MEMS, and MOEMS 17(3), 031204 (6 September 2018). https://doi.org/10.1117/1.JMM.17.3.031204
Received: 1 May 2018; Accepted: 7 August 2018; Published: 6 September 2018
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metals

Directed self assembly

Polymethylmethacrylate

Oxides

Copper

Dielectrics

Picosecond phenomena


CHORUS Article. This article was made freely available starting 06 September 2019

Back to Top