Open Access
21 November 2014 Parametric source-mask-numerical aperture co-optimization for immersion lithography
Xuejia Guo, Yanqiu Li, Lisong Dong, Lihui Liu, Xu Ma, Chunying Han
Author Affiliations +
Abstract
Source mask optimization (SMO) is a leading resolution enhancement technique in immersion lithography at the 45-nm node and beyond. Current SMO approaches, however, fix the numerical aperture (NA), which has a strong impact on the depth of focus (DOF). A higher NA could realize a higher resolution but reduce the DOF; it is very important to balance the requirements of NA between resolution and the DOF. In addition, current SMO methods usually result in complicated source and mask patterns that are expensive or difficult to fabricate. This paper proposes a parametric source-mask-NA co-optimization (SMNO) method to improve the pattern fidelity, extend the DOF, and reduce the complexity of the source and mask. An analytic cost function is first composed based on an integrative vector imaging model, in which a differentiable function is applied to formulate the source and mask patterns. Then, the derivative of the cost function is deduced and a gradient-based algorithm is used to solve the SMNO problem. Simulation results show that the proposed SMNO can achieve the optimum combination of parametric source, mask, and NA to maintain high pattern fidelity within a large DOF. In addition, the complexities of the source and mask are effectively reduced after optimization.

1.

Introduction

Optimization techniques play an important role in the improvement of the pattern fidelity and depth of focus (DOF) of current optical lithography systems. Source optimization is mainly aimed at altering the source shape,1,2 whereas mask optimization is aimed at modulating the amplitude of the electric field to compensate for the optical proximity effect.3,4 In 2002, Rosenbluth et al. proposed the first source mask optimization (SMO) method that exploits the synergy between the source and mask to achieve a higher resolution.5 Since then, a number of SMO methods have been proposed in the literature.69 Most methods are based on a scalar imaging model that is no longer accurate for a numerical aperture (NA)>0.6.10 In high-NA immersion lithography systems, the vector nature of the electromagnetic field must be taken into account. Recently, we proposed a pixelated SMO based on a vector imaging model that significantly improved the simulation precision for lithography at the 45-nm node and beyond.11,12

Previous SMO methods fixed the NA and fell short in considering the mutual impact of the NA with respect to the source and mask. Prior work has demonstrated that a larger NA could realize a higher resolution, but the DOF would decrease because of the relation DOF=k2·λ/(NA)2,13 where λ is the wavelength and k2 is the process factor. Hence, it is highly important to pursue the optimal NA during the SMO procedure so as to realize the designated resolution and achieve high image fidelity within a larger DOF. In addition, current pixelated SMO methods dramatically increase the complexity and fabrication cost of the optimized source and mask patterns; thus, they suffer from an inherent disadvantage in manufacturing.14,15

To overcome these limitations, this paper proposes a parametric source-mask-NA co-optimization (SMNO) method to improve the pattern fidelity within a large DOF and the complexity of the source and mask patterns. To our knowledge, this paper is the first to solve for the parametric SMNO problem based on a vector imaging model. First, the vector imaging model described in Refs. 11 and 16 is used to formulate the SMNO framework, which significantly improves the simulation precision for the 45-nm node in immersion lithography. Then, an analytic model of the parametric source, mask, and NA is built. Since the derivative of the arch function exists, it is used to approximate the parametric source pattern, phase-shifting mask (PSM) pattern, and NA values such that the gradient-based algorithm can be applied to the SMNO problem. In particular, the source is modeled by its partial coherent factor and opening angle. The mask is represented by the main feature and the serif. During the SMNO process, all parameters are simultaneously optimized by using the steepest-descent algorithm. In order to validate the proposed SMNO algorithm, simulations based on a quasar source and a two-dimensional mask are presented as an example. The simulations show that, in comparison with the SMO method, the proposed SMNO method can achieve the optimal combination of source, mask, and NA to achieve superior imaging performance over a wider DOF. In addition, the parametric source can maintain an extremely simplistic distribution to avoid customizing the diffractive optical elements or installing an expensive pixel source generator. The parametric mask greatly reduces the complexity, which is beneficial for mask fabrication and lowers the cost.

The remainder of the paper is organized as follows. Section 2 briefly summarizes the vector imaging model used in this paper. The analytic and parametric source, mask, and NA are modeled in Sec. 3. The SMNO algorithm is proposed and described at length in Sec. 4. The simulations are provided in Sec. 5. Finally, conclusions are drawn in Sec. 6.

2.

Vector Imaging Model for Immersion Lithography

In this paper, we choose the vector imaging model described in Refs. 11 and 16 as the basis for developing the SMNO framework. This vector imaging model can provide accurate simulation results even when NA>1 in immersion lithography. The accuracy of the model has been proven by comparison with PROLITH.11

In the following part, we use (x,y) and (f,g) to represent the coordinate systems in the spatial and frequency domains. (α,β,γ) is the direction cosine of the light propagating through (f,g). The direction cosines on the source side, mask side, and image side are denoted as (αs,βs,γs), (α,β,γ), and (αi,βi,γi), respectively.

A schematic of the lithography imaging process is illustrated in Fig. 1. A source point S(αs,βs) in the source plane emits the polarized light Ein=[Ex;Ey] propagating in the direction k^ and incident on the mask M(x,y), where k^=(αs,βs,γs) is the direction cosine with γs=1αs2βs2. The electric field on the exit pupil Eext can be expressed as

Eq. (1)

Eext(αi,βi)=QNA(αi,βi)·C(αi,βi)·Ψ(αi,βi)·F{M(x,y)}·Ein·eiδ,
where δ is the defocus factor representing the actual focal plane’s deviation from the best focus position. F{} is the Fourier transform. Ψ(αi,βi) is a 3×2 transfer matrix. C(αi,βi)=γ/γi is the radiometric correction factor. QNA(αi,βi) is the pupil function that denotes the diffraction-limited effect in an optical system; it can be formulated as a circle function.

Eq. (2)

QNA(αi,βi)={1,αi2+βi2NAni0,otherwise,
where ni is the refractive index of the immersion medium on the image side.

Fig. 1

Illustration of an optical lithography system.

JM3_13_4_043013_f001.png

The electric field at the image plane can be written as

Eq. (3)

Eim(xi,yi,zi)=2πni·R·F1{Eext(αi,βi)},
where R is the reduction factor of the projection lens and F1{} is the inverse Fourier transform.

The complete aerial image intensity Iim of the partial coherent source S can be obtained by

Eq. (4)

Iim(xi,yi,zi)=S(αs,βs)·[Eim(xi,yi,zi)·Eim*(xi,yi,zi)]dαsdβs.

In order to obtain unit intensity in the aerial image,17 the normalized aerial image intensity IAI can be written as

Eq. (5)

IAI(xi,yi,zi)=Iim(xi,yi,zi)Iclear(xi,yi,zi),
where Iclear(xi,yi,zi) is the image intensity of the mask Mclear in which all entries equal 1. Iclear can be calculated by the same procedure as Iim.

The aerial image represents the distribution on the wafer plane of the optical intensity that will cause the exposure of the resist. Usually, the exposure dose is described by the aerial image threshold value rt in a constant threshold resist model.18 The resist can be developed when the aerial image intensity IAI is larger than rt. For numerical consideration, we employ a sigmoid function instead of a hard threshold to calculate the resist image.19 Then, the exposure resist image Z can be expressed as

Eq. (6)

Z=1exp[a(IAIrt)]+1,
where a dictates the steepness of the sigmoid function.

3.

Analytic Model of the Parametric Source, Mask, and NA

In the aerial image equation, the source, mask, and NA are all binarized and have been formulated using a rectangular function. We often avoid using the rectangular function in optimization because it is not differentiable. In this section, we use a differentiable function instead of the rectangular function to describe the source, mask, and NA as the use of a differentiable function is more convenient for optimization in inverse lithography. Let us introduce the name “arch function” for the following rational approximation of a rectangular function:

Eq. (7)

arch(x)=1(x)2b+1,
where b is the steepness. As shown in Fig. 2, the arch function is approximately equivalent to the rectangular function when b is sufficiently large.

Fig. 2

Approximation of the rectangular function by using a derivative arch function. The arch function is approximate to the rectangular function when b is large enough.

JM3_13_4_043013_f002.png

3.1.

Source Model

Let S(αs,βs)RNs×Ns denote the source with all entries equal to 0 or 1, where NS is the dimension of the source. The parametric source can be described by a partial coherent factor, such as the outer sigma σout, the sigma width σwidth, and the opening angle θ. For annular illumination, the source shape is described by the rectangular function.

Eq. (8)

SA(αs,βs;σout,σwidth)={1,(σoutσwidth)rsσout0,otherwise,
where rs=αs2+βs2.

In order to calculate the derivative of the source parameter, the source can be modeled by a two-dimensional arch function. The annular illumination SA can be formulated as

Eq. (9)

SA(αs,βs;σout,σwidth)=1(rs/σout)2bs+11[rs/(σoutσwidth)]2bs+1,
where SA(αs,βs;σout,σwidth)[0,1]. The steepness is represented by bs.

For quasar illumination, the source is also restricted by the opening angle θ. The quasar illumination SQ can be modeled by the product of SA and a radial function KR.

Eq. (10)

SQ(αs,βs;σout,σwidth;θ1,θ2)=KR(αs,βs;θ1,θ2)·SA(αs,βs;σout,σwidth),
where KR(αs,βs;θ1,θ2)[0,1] is also a differentiable arch function, and

Eq. (11)

KR(αs,βs;θ1,θ2)=11(2·180π·acosαsrs180180θ1)2bs+1+1(2·180π·acosαsrs180θ2)2bs+1,
where θ1 and θ2 represent the opening angles of the vertical and the horizontal poles, respectively. Figure 3 shows the quasar source with bs=10 and bs=40. The root mean square errors between the approximate source and the binary source are 3.4 and 0.41%, respectively. We know that the source shape approximates to the binarized distribution when bs is large enough.

Fig. 3

Modeling of parametric quasar source. The source shape approximates to the binarized distribution when bs=40.

JM3_13_4_043013_f003.png

3.2.

Mask Model

Let M(x,y)RN×N be the mask with all entries equal to tm·exp(iφm), where N is the dimension of the mask, and tm and φm are the feature transmittance and phase shift of the attenuated PSM (AttPSM), respectively. For a 6% AttPSM, tm=0.06 and φm=π in the phase-shift region, whereas tm=1 and φm=0 in the non-phase-shift region.

The parametric mask is composed of the main feature and the serif, where the serif is placed at the corner of the main feature. The main feature is controlled by the feature width and feature height, while the serif is modeled by the serif size and the serif offset. The serif offset is the distance that the serif deviates from the main feature. The schematic of the parametric mask is shown in Fig. 4.

Fig. 4

Modeling of the parametric mask. The mask is composed of the main feature and the serif.

JM3_13_4_043013_f004.png

For the binary mask with all entries equal to 0 or 1, the l’th main feature can be expressed by using the arch function.

Eq. (12)

mFl(x,y;mwl,mhl)=11[2(xx0l)mwl]2bm+1·1[2(yy0l)mhl]2bm+1,
where mFl[0,1], bm is the steepness, mwl and mhl are the width and height of the feature, and x0l and y0l represent the center position of the feature.

The j’th serif can be expressed as

Eq. (13)

mSj(x,y;mssj,msoj)=11[2(xx0jmsoj)mssj]2bm+1·1[2(yy0jmsoj)mssj]2bm+1,
where mSj[0,1], mssj and msoj are the serif size and serif offset, and x0j and y0j represent the center position of the serif.

The function of the whole binary mask m0 can then be derived from the summation of the main feature and the serif.

Eq. (14)

m0(x,y;mw,mh,mss,mso)=χ=1lmFχ(x,y;mwχ,mhχ)+ζ=1jmSζ(x,y;mssζ,msoζ)lj+1,
where m0[0,1], and m0 describes the distribution of the mask.

Because the mask distribution is binarized, we convert m0 into binary when simulating the aerial and resist image.

Eq. (15)

m0={1,m0>0.50,m00.5.

It is noted that we use m0 in the process of calculating the derivative of the main feature and serif parameters, and use m0 instead of m0 when performing the aerial and resist image simulation.

The AttPSM can be modeled by the product of the attenuated layer Matt(m0) and the phase shift layer Φ(m0).

Eq. (16)

M(x,y;mw,mh,mss,mso)=Matt(m0)·Φ(m0),
where Matt(m0)=[(1tm)·m0+tm] and Φ(m0)=exp[iπ·(1m0)].

Thus, each independent main feature MFl and serif MSj of the AttPSM can be written as

Eq. (17)

MFl(x,y;mwl,mhl)=Matt(mFl)·Φ(mFl)=[(1tm)·mFl+tm]·exp[iπ·(1mFl)],

Eq. (18)

MSj(x,y;mssj,msoj)=Matt(mSj)·Φ(mSj)=[(1tm)·mSj+tm]·exp[iπ·(1mSj)].

3.3.

NA Model

NA can also be modeled by the differentiable arch function to replace the circle function of Eq. (2). Then, QNA(αi,βi) can be written as

Eq. (19)

QNA(αi,βi)=1(αi2+βi2NA)2bna+1,
where bna is the steepness.

Just as with the binarization process of the mask in Eq. (15), NA should also be binarized as QNA while performing the aerial and resist image simulation.

Eq. (20)

QNA={1,QNA>0.50,QNA0.5.

QNA in Eq. (19) is only used to calculate the derivative of NA.

4.

SMNO Based on the Vector Imaging Model

4.1.

Cost Function

Given a binary target pattern Z¯RN×N with all entries equal to 0 or 1, the pattern error (PE) FZ can be expressed as the difference between Z¯ and the resist image Z.

Eq. (21)

FZ=ZZ¯22=τ=1N2(zτz¯τ)2,
where 22 is the square of the Euler distance between the two arguments and τ is the τ’th grid of the resist image Z. The PE can explicitly describe the pattern fidelity, which is a very important parameter when evaluating the lithography performance.

In order to improve the convergence of the optimization, we add the difference between the aerial image IAI and the target pattern Z¯ in the cost function.

Eq. (22)

FAI=IAIc·Z¯22=τ=1N2(IAI,τc·z¯τ)2,
where c is a constant to modify the amplitude of the target pattern. When the DOF is sufficiently large, the aerial image IAI will be as close to the target pattern Z¯ as possible.

Thus, we combine Eqs. (21) and (22) to form the cost function.

Eq. (23)

F=ωg·FZ+(1ωg)·FAI,
where ωg[0,1] is the weight of FZ.

In order to maintain high pattern fidelity over a large range of DOF, the final cost function D should be adjusted by adding the off-focus term. Thus,

Eq. (24)

D=ωfoc·Ffoc+(1ωfoc)·Fdefoc,
where Ffoc and Fdefoc are the cost functions at the focal and defocus planes, and ωfoc[0,1] is the weight parameter.

4.2.

SMNO Algorithm

The SMNO algorithm can be formulated as the search for the optimal source, mask, and NA to minimize the cost function D, such that

Eq. (25)

{S,M,QNA}=minD.

In order to find the best combination of the source, mask, and NA, the steepest-descent method is used to implement the proposed SMNO algorithm. Then, the k’th iterative parameter set {Pn}(k), which includes all parameters of the source, mask, and NA, can be calculated by

Eq. (26)

{Pn}(k)={Pn}(k1)+Λ·d(k1),
where P represents the parameters of the source, mask, and NA. Λ is a vector that represents the step length of the parameters. The step length should be assigned before the optimization for each individual parameter P. The steepest-descent direction is denoted by d, which can be derived by

Eq. (27)

d=D({Pn}).

The partial derivative of the cost function D with respect to each parameter P of the source, mask, and NA can be written as

Eq. (28)

DP=ωfoc·FfocP+(1+ωfoc)·FdefocP.

Then, for the derivative of the cost function F at the focal and defocus positions,

Eq. (29)

FP=ωg·FZP+(1ωg)·FAIP,
where

Eq. (30)

FZP=τ=1N2(2{1exp[a(IAIrt)]+1z¯m}·1{exp[a(IAIrt)]+1}2·exp[a(IAIrt)]·(a)·IAIP),

Eq. (31)

FAIP=τ=1N2[2(IAI,τc·z¯τ)·IAIP].

From Eqs. (28)–(31), we find that D/P can be derived once IAI/P is calculated. Therefore,

Eq. (32)

DP=DIAI·IAIP.

It is noted that all the parameters of source, mask, and NA are constrained by boundaries in lithography. In order to reduce the bound-constrained optimization problem to an unconstrained optimization problem, we adopt the following parametric transformation to convert P into Ω.

Eq. (33)

Ω=1bp·ln(PmaxPminPPmin1),
where Ω is a function of parameter P, bp is a constant, and Pmax and Pmin are the maximum and minimum values of the parameter P, respectively. P[Pmax,Pmin] and Ω(,). The parameter P can be given by

Eq. (34)

P=PmaxPminexp(bp·Ω)+1+Pmin.

Then, the derivative of the cost function D with respect to the parameter P can be converted to the derivative of D with respect to Ω.

Eq. (35)

DΩ=DP·PΩ,
where

Eq. (36)

PΩ=bp·(PmaxPmin)·exp(bp·Ω)[exp(bp·Ω)+1]2.

Therefore, the derivative IAI/P can also be calculated by IAI/Ω. In the following part, we will calculate the derivatives of the parameters of the source, mask, and NA.

4.2.1.

Derivative of the source parameter

The derivative of the cost function D with respect to the source parameter Ω(sv) is

Eq. (37)

DΩ(sv)=DIAI·IAIΩ(sv),
where sv represents the source parameters σout, σwidth, θ1, and θ2. The term IAI/Ω(sv) can be derived from Eq. (5).

Eq. (38)

IAIΩ(sv)=Iclear·Iim/Ω(sv)Iim·Iclear/Ω(sv)(Iclear)2.

We use Iim to represent Iim and Iclear; then, Iim/Ω(sv) can be derived from Eq. (4).

Eq. (39)

IimΩ(sv)=SΩ(sv)·[E(xi,yi,zi)·E*(xi,yi,zi)]dαsdβs,
where E represents the electric field of the mask M and the mask Mclear. For quasar illumination, the source S is defined by SQ as in Eq. (10). The derivatives of SQ/Ω(sv) are represented in Appendix A. By combining Eqs. (37) and (39), we can obtain the derivatives D/Ω(σout), D/Ω(σwidth), D/Ω(θ1), and D/Ω(θ2) accordingly.

4.2.2.

Derivative of the mask parameter

The derivative of the cost function D with respect to the mask parameter Ω(mz) is

Eq. (40)

DΩ(mz)=DIAI·IAIΩ(mz),
where mz represents the mask parameters mw, mh, mss, and mso in Eq. (16). The term IAI/Ω(mz) can be derived from Eq. (5).

Eq. (41)

IAIΩ(mz)=Iim/Ω(mz)Iclear,
where

Eq. (42)

IimΩ(mz)=S(αs,βs)·[EimΩ(mz)·Eim*+Eim·Eim*Ω(mz)]dαsdβs.

For Eim and its complex conjugate Eim*,

Eq. (43)

Eim*Ω(mz)=[EimΩ(mz)]*,
where

Eq. (44)

EimΩ(mz)=2πni·R·F1{QNA(αi,βi)·C(αi,βi)·Ψ(αi,βi)·F{MΩ(mz)}·Ein·eiδ}.

For each individual feature on the mask M,

Eq. (45)

MΩ(mz)=MVΩ(mz),
where MV represents both MF and MS. The derivative of MV can be derived from Eqs. (17) and (18).

Eq. (46)

MVΩ(mz)=(1t)·mVΩ(mz)·exp[iπ·(1mV)][(1t)·mV+t]·iπ·exp[iπ·(1mV)]·mVΩ(mz),
where mV represents both mF and mS.

The derivatives of the mask parameters mV/Ω(mz) are listed in Appendix B. By substituting mV/Ω(mz) into Eq. (46), the derivative of the cost function D with respect to the mask parameter Ω(mz) can be solved.

4.2.3.

Derivative of the NA

The derivative of the cost function D with respect to Ω(NA) is

Eq. (47)

DΩ(NA)=DIAI·IAIΩ(NA).

The term IAI/Ω(NA) can be derived from Eq. (5).

Eq. (48)

IAIΩ(NA)=Iclear·Iim/Ω(NA)Iim·Iclear/Ω(NA)(Iclear)2,
where

Eq. (49)

IΩ(NA)=S(αs,βs)·[EΩ(NA)·E*+E·E*Ω(NA)]dαsdβs.

In Eq. (49), I represents both Iim and Iclear, while E represents the electric field of the mask M and the mask Mclear. E/Ω(NA) can be derived from Eq. (3):

Eq. (50)

EΩ(NA)=2πni·R·F1{QNAΩ(NA)·C(αi,βi)·Ψ(αi,βi)·F{M(x,y)}·Ein·eiδ}.

The term QNA/Ω(NA) can be derived from Eq. (19).

Eq. (51)

QNAΩ(NA)=2bna(αi2+βi2NA)2bna1[(αi2+βi2NA)2bna+1]2·αi2+βi2(NA)2·NAΩ(NA).

Finally, the derivative of the cost function D with respect to the NA D/Ω(NA) can be solved.

The steepest-descent direction d can be calculated after all the derivatives have been solved. Finally, the parameters can be optimized by using the steepest-descent method iteratively until the termination condition is satisfied.

5.

Implementation of Parametric SMNO

In order to demonstrate the validity of the proposed optimization method, we illustrate the simulation results for the SMNO in this section. For comparison consideration, a parametric SMO without NA optimization is also performed in the simulations.

The lithography system is an argon fluoride (ArF) immersion lithography system with variable NA. The reflection index of the immersion medium is n=1.44. The wavelength is λ=193nm. The reduction of the projector is R=4.

The simulations use the parametric source and mask. The source shape is quasar with Y polarization. Four source parameters, σout, σwidth, θ1, and θ2, are optimized. The source array dimension NS is 41. A 45-nm AttPSM line-space pattern is used as a target in the simulation. There are three lines in the 600nm×600nm wafer, while the mask array dimension N is 600. As shown in Fig. 5(a), each line feature is 45 nm in width and 360 nm in height, while the space between the lines is 90 nm. The feature transmittance is tm=0.06, with a 180 deg phase shift to enhance the resolution. With the modeling in Sec. 3.2, we can change the feature width, feature height, and serif to compensate for the optical proximity effects. All the main features and serifs are numbered in Fig. 5(b). Because the mask pattern has fourfold symmetry, we need only optimize the mask parameters on the top-left quarter, which simplifies the optimization procedure. Thus, 10 mask parameters are optimized, including mw1 and mh1 of main feature 1, mw2 and mh2 of main feature 2, mss4 and mso4 of serif 4, mss5 and mso5 of serif 5, and mss6 and mso6 of serif 6.

Fig. 5

(a) Target pattern. (b) The number of main feature and serif.

JM3_13_4_043013_f005.png

There are 15 parameters in optimization, 4 source parameters, 10 mask parameters, and NA. Nonoptimized initial parameter values are given to serve as a starting point for optimization. The initial parameter values, minimum value Pmin, and maximum value Pmax are listed in Table 1. The step lengths Λ in Eq. (26) are 0.01, 0.1, and 0.02 for source, mask, and NA parameters, respectively. The steepness of the parameters are all equal to 40 for bs, bm, and bna. The constant bp in Eq. (33) is 0.05.

Table 1

Parameter settings, and source mask optimization (SMO) and source-mask-numerical aperture co-optimization (SMNO) results.

ParametersInitialPminPmaxSMO resultSMNO result
Sourceσout0.780.610.6310.734
σwidth0.150.10.30.1060.128
θ1 (deg)45306030.03330.085
θ2 (deg)4530603030
Maskmw1 (nm)454010052.33759.386
mh1 (nm)360350480425.3406.847
mw2 (nm)454010051.09856.567
mh2 (nm)360350480425.333429.145
mss4 (nm)2005028.433.5
mso4 (nm)10102014.23414.662
mss5 (nm)2005022.28524.904
mso5 (nm)10102015.09614.146
mss6 (nm)2005027.69125.484
mso6 (nm)10102014.58214.148
NANA1.311.351.013

The steepness ar=40 and the image threshold rt=0.25 when calculating the resist image. In order to increase the DOF, the resist images at the best focus position (δ=0nm) and the 150-nm defocus position (δ=150nm) are simulated. We assign ωfoc=0.3 in Eq. (24) and ωg=0.8 in Eq. (23). The constant c in Eq. (22) is 1. The optimization terminates after 100 iterations.

The simulations are performed using the optimization algorithm in Sec. 4. The simulation for SMO uses the same optimization condition with SMNO except for NA. Simulation results for the SMO and SMNO are listed in the last two rows of Table 1 and are also shown in Fig. 6. The results show that both source and mask parameters are optimized after SMO and SMNO. It is noted that the optimal NA for this kind of target pattern is 1.013, which can realize the designated resolution and maintain high pattern fidelity within a large DOF.

Fig. 6

Optimization result of source mask optimization (SMO) and source-mask-numerical aperture co-optimization (SMNO). The red solid line indicates the target pattern. Pattern errors (PEs) are marked on the top of each resist image. The SMNO could keep good pattern fidelity through a large depth of focus (DOF).

JM3_13_4_043013_f006.png

The optimized source, mask, and resist image at the best focus, 100-nm defocus, and 150-nm defocus positions are all shown in Fig. 6. The red solid line in the figure indicates the target pattern. The PEs are also marked on the top of each resist image. From Fig. 6, one can see that without optimization, the mask can only be printed on the wafer at the best focus position with a large PE of 22,656, whereas no pattern can be printed at the 100-nm and 150-nm defocus positions. When the parametric source and mask have been optimized by using SMO, the mask can be printed at both the best focus and the defocus positions. The resist image is highly faithful to the target pattern with a PE of 5800 at the best focus. However, the PE equals 36,168 at the 150-nm defocus position, which is too large to maintain pattern fidelity. When performing SMNO, we found that the resist image could maintain high pattern fidelity through a large DOF. The PE of SMNO at the best focus, 100-nm defocus, and 150-nm defocus positions are 8038, 7430, and 14,186, respectively. Although the PE of SMNO is larger than that of SMO by 2238 at the best focus position, the PE values at the defocus positions are significantly less. The PE of SMNO at the 150-nm defocus position is 656 and 21,982 smaller than the PE of SMO at the 100-nm and 150-nm defocus positions. Figure 7 shows a comparison of the PEs at various defocus positions, where we found that the PE of SMO increases drastically at a defocus position, but SMNO maintains a low level of PE over a large range of DOF. The comparison of optimization results between the SMO and SMNO demonstrates that SMNO could effectively improve the pattern fidelity and enlarge the DOF, which also reveals that it is necessary to include NA in the optimization.

Fig. 7

Comparison of PE between SMO and SMNO. PE of SMO increases drastically at defocus position, while SMNO could maintain a low level of PE in a large DOF.

JM3_13_4_043013_f007.png

In contrast to pixelated SMO, we may note that the source and mask always maintain an exceedingly low complexity after optimization. The source has been optimized by adjusting the partial coherent factor, while the mask has been optimized by changing the feature size and adding a serif. There is no need to customize the diffractive optical elements or purchase an expensive pixel source generator when using the parametric source. The mask can maintain a low complexity after optimization. The parametric source and mask can, therefore, effectively reduce the cost of fabrication and provide high stability in production.

Figure 8 presents the convergent curves of the cost functions for SMO and SMNO. The red-circle line represents the cost function of SMO, while the black-triangle line illustrates SMNO. By incorporating NA in optimization, the cost function could be reduced much further.

Fig. 8

Comparison of cost functions between SMO and SMNO. SMNO could converge better than SMO.

JM3_13_4_043013_f008.png

The above performance comparisons reveal that the proposed parametric SMNO method can effectively improve the pattern fidelity and enhance the robustness of the optical lithography systems. NA should be considered in the optimization when optimizing the source and mask.

6.

Conclusion

This paper proposes a parametric SMNO method using a vector imaging model to improve the pattern fidelity and DOF. We develop an analytical approach to the parametric source, mask, and NA, which could be effectively applied in inverse lithography. The mathematical expressions of the derivatives of source, mask, and NA parameters are derived on the basis of the vector imaging model. The steepest-descent algorithm is used to optimize the source, mask, and NA iteratively. Simulation results show that the SMNO produces a better source, mask, and NA combination compared to SMO. Simulation results also reveal that it is necessary to optimize NA to achieve superior lithography performance. The optimized parametric source, mask, and NA are capable of maintaining high pattern fidelity within a large DOF. The parametric source shape and mask layout are in an extremely simple distribution, which could effectively reduce the cost of fabrication and provide high stability in high-volume production. In our future work, we will improve the parametric expression of the mask to model a more complex shape, such as an L-shape and T-shape, and apply the SMNO to full-chip mask optimization.

Appendices

Appendix A

According to Eq. (10), the derivatives of the source parameters SQ/Ω(sv) are

Eq. (52)

SΩ(σout)=KR·(2bs·(rs/σout)2bs1[(rs/σout)2bs+1]2·rs(σout)22bs·[rs/(σoutσwidth)]2bs1{[rs/(σoutσwidth)]2bs+1}2·rs(σoutσwidth)2)·σoutΩ(σout),

Eq. (53)

SΩ(σwidth)=KR·(2bs·[rs/(σoutσwidth)]2bs1{[rs/(σoutσwidth)]2bs+1}2·rs(σoutσwidth)2)·σwidthΩ(σwidth),

Eq. (54)

SΩ(θ1)=SA·(2bs·[(2·180π·acosαsrs180)/(180θ1)]2bs1{[(2·180π·acosαsrs180)/(180θ1)]2bs+1}2·2·180π·acosαsrs180(180θ1)2)·θ1Ω(θ1),

Eq. (55)

SΩ(θ2)=SA·(2bs·[(2·180π·acosαsrs180)/θ2]2bs1{[(2·180π·acosαsrs180)/θ2]2bs+1}2·2·180π·acosαsrs180(θ2)2)·θ2Ω(θ2).

Appendix B

The derivatives of the mask with respect to the mask parameter mV/Ω(mz) are

Eq. (56)

mFiΩ(mwi)=2bm[2(xx0i)mwi]2bm1{[2(xx0i)mwi]2bm+1}2·2(xx0i)(mwi)2·1[2(yy0i)mwi]2bm+1·mwiΩ(mwi),

Eq. (57)

mFiΩ(mhi)=2bm[2(yy0i)mhi]2bm1{[2(yy0i)mhi]2bm+1}2·2(yy0i)(mhi)2·1[2(xx0i)mhi]2bm+1·mhiΩ(mhi),

Eq. (58)

mSiΩ(mssi)=(2bm[2(xx0imsoi)mssi]2bm1{[2(xx0imsoi)mssi]2bm+1}2·2(xx0imsoi)(mssi)2·1[2(yy0imsoi)mssi]2bm+1+2bm[2(yy0imsoi)mssi]2bm1{[2(yy0imsoi)mssi]2bm+1}2·2(yy0imsoi)(mssi)2·1[2(xx0imsoi)mssi]2bm+1)·mssiΩ(mssi),

Eq. (59)

mSiΩ(msoi)=(2bm[2(xx0imsoi)mssi]2bm1{[2(xx0imsoi)mssi]2bm+1}2·2mssi·1[2(yy0imsoi)mssi]2bm+1+2bm[2(yy0imsoi)MSSi]2bm1{[2(yy0imsoi)mssi]2bm+1}2·2mssi·1[2(xx0imsoi)mssi]2bm+1)·msoiΩ(msoi).

Acknowledgments

We thank the financial support by National Science and Technology Major Project, National Natural Science Foundation of China under No. 61204113, Key Laboratory of Photoelectronic Imaging Technology and System (Beijing Institute of Technology, Ministry of Education of China) under Grant No. 2013OEIOF05, and the project of Mentor Graphics and Beijing Institute of Technology Collaboration in RET. We also thank KLA-Tencor Corporation for providing academic use of PROLITH.

References

1. 

Y. Granik, “Source optimization for image fidelity and throughput,” J. Micro/Nanolith. MEMS MOEMS, 3 (4), 509 –522 (2004). http://dx.doi.org/10.1117/1.1794708 JMMMGF 1932-5134 Google Scholar

2. 

A. E. RosenbluthN. Seong, “Global optimization of the illumination distribution to maximize integrated process window,” Proc. SPIE, 6154 61540H (2006). http://dx.doi.org/10.1117/12.656950 PSISDG 0277-786X Google Scholar

3. 

J. WordN. Cobb, “Enhanced model based OPC for 65 nm and below,” Proc. SPIE, 5567 1305 –1314 (2004). http://dx.doi.org/10.1117/12.568757 PSISDG 0277-786X Google Scholar

4. 

X. MaG. R. Arce, Computational Lithography, 1st ed.John Wiley and Sons, New York (2010). Google Scholar

5. 

A. E. Rosenbluthet al., “Optimum mask and source patterns to print a given shape,” J. Microlith. Microfab. Microsyst., 1 (1), 13 –30 (2002). http://dx.doi.org/10.1117/1.1448500 JMMMHG 1932-5150 Google Scholar

6. 

A. Erdmannet al., “Towards automatic mask and source optimization for optical lithography,” Proc. SPIE, 5377 646 –657 (2004). http://dx.doi.org/10.1117/12.533215 PSISDG 0277-786X Google Scholar

7. 

J. C. YuP. Yu, “Gradient-based fast source mask optimization (SMO),” Proc. SPIE, 7973 797320 (2011). http://dx.doi.org/10.1117/12.879441 PSISDG 0277-786X Google Scholar

8. 

Y. Penget al., “Gradient-based source and mask optimization in optical lithography,” IEEE Trans. Image Process., 20 (10), 2856 –2864 (2011). http://dx.doi.org/10.1109/TIP.2011.2131668 IIPRE4 1057-7149 Google Scholar

9. 

N. JiaE. Y. Lam, “Pixelated source mask optimization for process robustness in optical lithography,” Opt. Express, 19 (20), 19384 –19398 (2011). http://dx.doi.org/10.1364/OE.19.019384 OPEXFF 1094-4087 Google Scholar

10. 

G. M. Gallatin, “High-numerical-aperture scalar imaging,” Appl. Opt., 40 (28), 4958 –4964 (2001). http://dx.doi.org/10.1364/AO.40.004958 APOPAI 0003-6935 Google Scholar

11. 

X. Maet al., “Pixelated source and mask optimization for immersion lithography,” J. Opt. Soc. Am. A, 30 (1), 112 –123 (2013). http://dx.doi.org/10.1364/JOSAA.30.000112 JOAOD6 0740-3232 Google Scholar

12. 

X. Maet al., “Hybrid source mask optimization for robust immersion lithography,” Appl. Opt., 52 (18), 4200 –4211 (2013). http://dx.doi.org/10.1364/AO.52.004200 APOPAI 0003-6935 Google Scholar

13. 

B. J. Lin, “Where is the lost resolution?,” Proc. SPIE, 633 44 –50 (1986). http://dx.doi.org/10.1117/12.963701 PSISDG 0277-786X Google Scholar

14. 

X. Maet al., “Block-based mask optimization for optical lithography,” Appl. Opt., 52 (14), 3351 –3363 (2013). http://dx.doi.org/10.1364/AO.52.003351 APOPAI 0003-6935 Google Scholar

15. 

X. Guoet al., “Co-optimization of the mask, process, and lithography-tool parameters to extend the process window,” J. Micro/Nanolith. MEMS MOEMS, 13 (1), 013015 (2014). http://dx.doi.org/10.1117/1.JMM.13.1.013015 JMMMGF 1932-5134 Google Scholar

16. 

LiY.DongL.MaX., “Aerial image calculation method based on the abbe imaging vector model,” Chinese Patent, ZL201110268282 Authorized in (2013). (in Chinese)

17. 

M. D. SmithC. A. Mack, “Methods for benchmarking photolithography simulators,” Proc. SPIE, 5040 57 –68 (2004). http://dx.doi.org/10.1117/12.485537 PSISDG 0277-786X Google Scholar

18. 

W. Huanget al., “Two threshold resist models for optical proximity correction,” Proc. SPIE, 5377 1536 –1543 (2004). http://dx.doi.org/10.1117/12.544252 PSISDG 0277-786X Google Scholar

19. 

A. PoonawalaP. Milanfar, “Mask design for optical microlithography—an inverse imaging problem,” IEEE Trans. Image Process., 16 (3), 774 –788 (2007). http://dx.doi.org/10.1109/TIP.2006.891332 IIPRE4 1057-7149 Google Scholar

Biography

Xuejia Guo received his BS degree in optical information science and technology from University of Jinan in 2008 and his PhD degree in optical engineering from Beijing Institute of Technology in 2014. His current interests include optical manufacturing, lithography simulation, and optimization.

Yanqiu Li received her MS and PhD degrees in optics from Harbin Institute of Technology of China. She is currently a professor in the School of Optoelectronics at Beijing Institute of Technology, Beijing, China. She holds over 30 Chinese patents and has published numerous articles on lithographic science.

Lisong Dong received his BS degree in applied physics from Hefei University of Technology in 2008 and his PhD degree in optical engineering from Beijing Institute of Technology in 2014. His research interests include lithography simulation and resolution enhancement technology.

Lihui Liu received his BS and MS degrees in optics from Hebei University, respectively, in 1999 and 2002. He received his PhD from the Institute of Modern Optics in NanKai University in 2005. He is currently an associate professor of School of Optoelectronics at Beijing Institute of Technology, Beijing, China. His research is focused on optical sensing, lithography simulation, and optimization.

Xu Ma received his BS degree in electrical engineering from Tsinghua University, Beijing, China, in 2005, and MS and PhD degrees in electrical and computer engineering from the University of Delaware in 2007 and 2009, respectively. Until 2010, he was a postdoctoral scholar at the University of California, Berkeley. He is currently a professor in the School of Optoelectronics at Beijing Institute of Technology, China. His research interests include computational lithography and signal and image processing.

Chunying Han received his BS degree in physics from Changsha University of Science & Technology, China, in 2009. He is currently a PhD candidate directed by Professor Yanqiu Li at Beijing Institute of Technology, Beijing, China. His research interests include lithography simulation and computational lithography.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Xuejia Guo, Yanqiu Li, Lisong Dong, Lihui Liu, Xu Ma, and Chunying Han "Parametric source-mask-numerical aperture co-optimization for immersion lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 13(4), 043013 (21 November 2014). https://doi.org/10.1117/1.JMM.13.4.043013
Published: 21 November 2014
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Photomasks

Source mask optimization

Artificial intelligence

Immersion lithography

Lithography

Optical fiber cables

Binary data

Back to Top