Open Access
9 April 2015 Sub-10-nm patterning process using directed self-assembly with high χ block copolymers
Naoko Kihara, Yuriko Seino, Hironobu Sato, Yusuke Kasahara, Katsutoshi Kobayashi, Ken Miyagi, Shinya Minegishi, Teruaki Hayakawa, Koichi Yatsuda, Tomoharu Fujiwara, Noriyuki Hirayanagi, Hideki Kanai, Yoshiaki Kawamonzen, Katsuyoshi Kodera, Tsukasa Azuma
Author Affiliations +
Abstract
The perpendicularly orientated lamellar structure of the self-organized diblock copolymer is an attractive template for sub-10-nm line-and-space pattern formation. We propose a method of evaluating the neutral layer (NL) whose performance has an important bearing on the perpendicular orientation of the lamellar structure. The random copolymer of methyl methacrylate and i-butyl POSS methacrylate (MAIBPOSS) has been investigated as an NL for a polymethylmethacrylate-b-polymethacrylethylPOSS (PMMA-b-PMAIBPOSS) lamellar structure. PMMA-b-PMAIBPOSS material has the potential to form sub-10 nm line-and-space pattern, in addition to high etch selectivity due to its POSS structure. Under the free surface, PMMA-b-PMAIBPOSS film on the random copolymer layer showed horizontal orientation. However, a half-pitch of a 7-nm finger pattern structure was observed by peeling off the horizontally oriented layer. The upper portion of the PMMA-b-PMAIBPOSS film was eliminated till proximity of the random copolymer layer by CF4 gas etching. From the result, it was revealed that the PMMA-r-PMAIBPOSS works as an NL. It was confirmed that the contact angle analysis using an appropriate polymer is a suitable method for evaluation of the surface energy performance of the copolymer with the attribute of high segregation energy.

1.

Introduction

Directed self-assembly (DSA) lithography, which combines self-assembling materials and lithographically defined prepatterns, is a candidate to extend optical/EUV lithography beyond sub-10 nm.1,2 DSA of block copolymer (BCP) films on chemically or topologically patterned substrates is an attractive patterning technique that combines the ability of BCPs to self-assemble into nanoscale features with the use of lithographic tools.37 One of the important problems concerning practical application of DSA lithography is a “defect” problem. So far, the typical defect densities remain orders of magnitude away from the target concentration of 0.01defects/cm2 proposed by the International Technology Roadmap for Semiconductors.8 Therefore, it is crucially important to minimize defect levels and maximize DSA lithography process margin by carefully designing lithographically defined prepatterns and precisely adjusting process conditions. Applying polystyrene-polymethylmethacrylate (PS-b-PMMA) diblock copolymer in a full-pitch size of around 25 nm, such a defect investigation has been reported.9 On the other hand, the domain size of self-organization is basically determined by the Flory–Huggins χ parameter and the polymerization index.10,11 To realize sub-10 nm feature size patterns, a higher χ parameter diblock copolymer is required. For example, polystyrene-b-poly 2-vinylpyridine and polystyrene-b-polydimethylsiloxane are well known as high χ materials with a potential for sub-10 nm patterns.1214 However, to apply such materials for the lithographic process, high etching selectivity between the two composite polymers is required in order to transfer the pattern to the substrate.15 In this work, we report a fabrication process of sub-10 nm line-and-space patterns using the DSA materials featuring high χ parameter and high etch selectivity. We applied one of the most promising DSA materials, namely, POSS containing DSA materials, to realize sub-10 nm line-and-space patterning processes.16 In addition, we report the evaluation process of a new neutral layer (NL) material for Si containing high χ material.

2.

Experimental Methods

2.1.

Materials

The BCP materials utilized in this work are listed in Table 1. The cylindrical and lamellar phase-separation materials utilized were polymethylmethacrylate-b-polymethacrylethylPOSS (PMMA-b-PMAEtPOSS) and polymethylmethacrylate-b-polymethacryli-butylPOSS (PMMA-b-PMAIBPOSS). PMMA-b-PMAEtPOSS and PMMA-b-PMAIBPOSS were synthesized by living anionic polymerization.17,18 The domain sizes of microphase separation listed in Table 1 were obtained by bulk small angle x-ray scattering measurements. As the NL material, polymethylmethacrylate-r-polymethacryli-butylPOSS-r-polyglycidylmethacrylate (PMMA-r-PMAIBPOSS-r-PGMA) was utilized. The NL materials were synthesized by a reversible addition-fragmentation chain transfer (RAFT) polymerization method using 2-phenyl-2-propyl benzodithioate as an initiator.19 The PMAIBPOSS volume in the random polymer was varied from 52% to 61%. Mn and polydispersity index (PDI = Mw/Mn) were measured by gel permeation chromatography. BCP composition was determined by nuclear magnetic resonance (NMR) spectrum measurement. The volume of NL polymer composition was calculated from the composition ratio determined by NMR spectrum.

Table 1

Block copolymer materials and neutral layer (NL) materials.

StructurePolymerMnMw/MnPMAPOSSd (nm)
CylinderPMMA54-b-PMAEtPOSS1013,2001.0659 (wt.%)11.2
LamellaPMMA123-b-PMAIBPOSS719,0001.0435 (wt.%)14.8
NLPMMA-r-PMAPOSS-r-PGMA36,7001.2543 (vol.%)
NLPMMA-r-PMAIBPOSS-r-PGMA25,0001.3552 (vol.%)
NLPMMA-r-PMAIBPOSS-r-PGMA24,0001.1857 (vol.%)
NLPMMA-r-PMAIBPOSS-r-PGMA67,0001.3761 (vol.%)

2.2.

Preparation Process of DSA

Graphoepitaxy guide of patterned spin-on-glass (SOG) substrate was prepared as follows. A substrate consisting of a 100-nm thick layer of SOG was formed on an Si wafer. SOG material was supplied from a resist vendor. A Clean TRACK™ ACT12™ (Tokyo Electron Ltd.) was used for SOG coating, baking, and rinsing On the SOG film, and a commercially available ArF immersion photoresist was coated. A Clean TRACK™ LITHIUS™ (Tokyo Electron Ltd.) was used for the ArF immersion photoresist coating, baking, and development. An NSR-S610C (Nikon Corp., 1.3NA) ArF excimer laser immersion scanner was used for exposures. Using the photoresist pattern as an etching mask, SOG film was etched to form 10-nm depth trenches by CF4 gas. A TACTRAS™ SCCM-T4™ (Tokyo Electron Ltd.) chamber was used for guide pattern etching. The photoresist pattern was removed by O2 plasma ashing.

PMMA-b-PMAPOSS film was prepared as follows. A propylene glycol monomethyl ether acetate (PGMEA) solution of 1 wt.% of BCP was prepared. The BCP film was formed by spin-coating on a bare Si wafer or patterned SOG substrate and then annealed in an oven at 150°C for 12 h under a vacuum of 5 Torr. For these experiments, 2cm2 chips of bare Si or patterned SOG substrate were used. A SPINCOATER 1H-HD (MIKASA Co., Ltd) was used for BCP and NL coating. The NL was prepared using PMMA-r-PMAIBPOSS-r-PGMA. PGMA units were added to the NL for crosslinking that improves the chemical compatibility of the NL for the subsequent BCP casting step. A PGMEA solution of 1 wt.% of the random copolymer was prepared. The prepared solution was spin-coated onto an Si wafer. The obtained thickness was 13 nm. After baking at 150°C for 12 h under a vacuum of 5 Torr, the film was rinsed with PGMEA to remove uncrosslinked polymer chains. The remained film thickness was 3 to 5 nm. The etching of BCP film was carried out using CF4 gas and O2 gas. An inductive coupled plasma (ICP) etcher, Multiplex ICP (Surface Technology Systems) was utilized as an etching instrument. The platen/coil power was tuned to be 50W/50W. For CF4 gas etching and O2 gas etching, chamber process pressure was controlled to be 5 and 0.6 mTorr, respectively.

2.3.

Measurements

Scanning electron microscope (SEM) images were observed using SU9000 (Hitachi High-Technologies Corp.). To observe the film surface image, an atomic force microscope (AFM, Veeco Metrology Group) was utilized. The water contact angle was measured by a self-made instrument. The contact angle of PMMA was measured as follows.20 Thin PMMA film of 18-nm thickness was coated on an NL. Then the film was heated at 170°C for 15 h under a vacuum of 5 Torr. The contact angle of the PMMA dot was measured by AFM.

3.

Results and Discussion

3.1.

Performance of Si-Containing BCP Materials

PS-PMMA has been investigated for application of fine line-and-space pattern formation. PS-PMMA has several advantages as the DSA material; the etching selectivity of PS and PMMA is high for an organic BCP material, and the relatively low segregation energy enables perpendicular orientation of lamellar structure in a normal ambience without any special atmosphere or top coat.9,15 However, to obtain a sub-10 nm feature pattern by DSA, new materials with a high χ parameter are required.

Figure 1 shows the chemical structure of the BCPs utilized in this work. In Table 1, the composition of BCPs and their d-spacing is listed. As shown in the d-spacing figures, this BCP structure has the potential to form a sub-10 nm line pattern template. In the device fabrication process, the fine pattern of DSA should be transferred to the Si substrate. For the transfer process of such a fine pattern to a substrate, the performance of dry etching selectivity is important. Figure 2 shows O2 gas etching selectivity of PMMA and PMAIBPOSS compared with that of PS and PMMA. The durability of PMAIBPOSS to O2 etching is high and the etch selectivity to PMMA is about 60, whereas PS-PMMA stays around 2 to 3.15

Fig. 1

Chemical structure of block copolymer (BCP).

JM3_14_2_023502_f001.png

Fig. 2

O2 gas etch selectivity of BCPs.

JM3_14_2_023502_f002.png

Figure 3 shows the graphoepitaxy process of cylindrical PMMA-b-PMAEtPOSS material. The guide pattern was prepared by SOG material on an Si substrate using an ArF lithography process. The height of SOG guide wall was designed to be 10 nm. To avoid multiple-layer formation of BCP in the trench, the depth of the trench was designed to be same as the d-spacing of cylinder BCP. The thickness of the BCP film was determined by pretest coating on Si substrate. The thickness of the BCP film on an Si substrate was 9.2 nm. The SEM images of annealed BCP in the guide pattern were shown in Fig. 4. As shown in Fig. 4(b), a half-pitch of 7 nm lines was obtained. However, several types of defects were observed in one test chip. Figure 5 shows the SEM images of the typical defect type observed in one test chip. Both a nonfill defect and overflow defect exist on one test chip. The observed chip was prepared by applying the same process shown in Fig. 3. This indicates that, in applying a cylindrical structure for line-and-space pattern formation, coating-process control is essential to remove such defects. One of the advantages of applying the perpendicular lamellar structure is that less care is needed to be exercised for measures to deal with such defects.

Fig. 3

Guide preparation and applying BCP process image.

JM3_14_2_023502_f003.png

Fig. 4

Scanning electron microscope (SEM) images of graphoepitaxy guide pattern and PMMA54-b-PMAEtPOSS10 in trench guide. (a) Top-down SEM images of guide pattern and BCP in trench and (b) magnified SEM image and schematic image of cross section.

JM3_14_2_023502_f004.png

Fig. 5

SEM images of defects observed in one test chip. (a) BCP overflow, (b) nonfilled, and (c) line-edge roughness.

JM3_14_2_023502_f005.png

3.2.

Neutral Layer Material Preparation for Perpendicular Orientation of Lamellar Structure

To obtain a perpendicular orientation of the lamellar BCP pattern, the surface energy of the substrate should be controlled to have an equal affinity for both polymers. In the case of PS-b-PMMA, random copolymers of PS and PMMA were applied.20,21 When the composition ratio of PS and PMMA of the random copolymer matched the BCP composition, perpendicular orientation was obtained. To form a perpendicularly oriented PMMA-b-PMAIBPOSS’s lamellar structure, random copolymers of PMMA and PMAIBPOSS were prepared as NL materials. The chemical structure of the NL material is shown in Fig. 6. The RAFT polymerization method was used for the polymerization. A cross-linkable component, glycidylmethacrylate, was added to the copolymer composition to avoid the intermixing when the BCP was coated on the random copolymer layer.

Fig. 6

Scheme of PMMA-r-PMAIBPOSS-r-PGMA preparation.

JM3_14_2_023502_f006.png

Three neutral materials, whose PMAIBPOSS volume content was varied from 52% to 61%, were synthesized. The neutral substance surface was prepared as follows. Random copolymer film was formed on a substrate by spin coating. The film was baked to crosslink glycidyl groups. To eliminate uncrosslinked polymer, the film was rinsed with PGMEA. The surface energy performance of the random copolymers was examined by the measurement of water and PMMA contact angles.17 The obtained contact angles of the random copolymer films are shown in Fig. 7. A significant difference is not observed in water contact angles, which is considered attributable to high water repellency resulting from POSS. On the other hand, contact angles of the PMMA dot show significant change according to the PMAIBPOSS content. The contact angle of the PMMA dot increases with an increase of the PMAIBPOSS content, except in the case of 61% content. The photographs of PMMA dots on NLs are shown in Fig. 7. As shown in the photographs, the shape of the PMMA dot structure on 61% PMAIBPOSS content film is different to that on the films with less PMAIBPOSS content. The low contact angle of the PMMA dot on the high PMAIBPOSS content film was caused by the degradation of the PMMA dot shape.

Fig. 7

Water and PMMA contact angles on PMMA-r-PMAIBPOSS-r-PGMA film surface and photographs of PMMA dots on the random copolymers. (a) PMAIBPOSS content 43%, (b) 52%, (c) 57%, and (d) 61%.

JM3_14_2_023502_f007.png

3.3.

Observation of PMMA-b-PMAIBPOSS Lamellar Structure on the Neutral Layers

On the prepared random copolymer layer, BCP film was formed and annealed. Figure 8 shows the AFM images of annealed BCP film surfaces. As shown in these figures, an island structure is observed. The height of the island is 14 nm, which is consistent with L0. The island area decreased with increasing the PMAIBPOSS content of PMMA-r-PMAIBPOSS-r-PGMA although the BCP coating condition was the same. That means that the film thickness of BCP was affected by the surface condition caused by the PMAIBPOSS content. Usually, such an island structure is considered indirect evidence of the horizontal orientation of the lamellar structure under a free surface (Fig. 9). To observe the orientation of the lamellar structure just above the random copolymer layer, the horizontally oriented upper layer was eliminated by dry etching with CF4 gas and O2 gas.

Fig. 8

Atomic force microscope (AFM) images of annealed BCP film surfaces and schematic image of BCP on PMMA-r-PMAIBPOSS-r-PGMA films.

JM3_14_2_023502_f008.png

Fig. 9

Expected images of phase-separation structure of BCP on NL and schematic image of dry development process. (a) AFM image and schematic image, (b) horizontal orientation, (c) partially perpendicular orientation, and (d) examined etch process.

JM3_14_2_023502_f009.png

Figure 10 shows the AFM images of the etched BCP film surface. As shown in this figure, the finger pattern is observed aside from the horizontally oriented lamellar structure in proximity to the random copolymer surface. The cross-sectional schematic image of the etched film is also illustrated in Fig. 10. In the brightly colored area (horizontally oriented lamellar area) of the AFM height image, a finger pattern, which is considered to indicate partial penetration of the perpendicular lamellar structure, is observed [Fig. 10(a)]. This experimental result indicates that the lamellar structure of the BCP on the random copolymer surface oriented perpendicularly. However, the effect did not reach the BCP film surface.

Fig. 10

Atomic force microscope images of etched BCP film surface. (a) Height image, (b) amplitude image, and (c) schematic image of cross section.

JM3_14_2_023502_f010.png

Figure 11 shows the BCP behavior dependence on the random copolymer layer whose PMAIBPOSS composition volume ratio was varied from 52% to 61%. As shown in this figure, BCP on the 52% and 57% PMAIBPOSS content copolymers shows a finger pattern. The behavior of the BCP on a bare Si wafer was shown in Fig. 12. The film thickness of the coated BCP on the Si was 19 nm. Annealing and etching were applied the same processing as the BCP film on the NL. As shown in Fig. 12, no finger pattern was observed on the etched film.

Fig. 11

BCP behavior dependence on neutral layer composition.

JM3_14_2_023502_f011.png

Fig. 12

BCP behavior on bare Si wafer. (a) Height image of annealed BCP film and (b) amplitude image of etched film.

JM3_14_2_023502_f012.png

These results proved that PMMA-r-PMAIBPOSS-r-PGMA performs as a neutral material for PMMA-b-PMAIBPOSS. The perpendicular control of the bottom layer was accomplished by the NL. However, the top surface orientation of the BCP film was still under the influence of the free surface. For application of the lithographic process, control of the top surface energy is required in order to obtain the perfect perpendicular orientation.22

4.

Summary

Perpendicular orientation of lamellar PMMA-b-PMAIBPOSS material was investigated. The performance of PMMA-r-PMAIBPOSS-r-PGMA as an NL for PMMA-b-PMAIBPOSS was clarified by observation of the proximity area on the PMMA-r-PMAIBPOSS-r-PGMA layer. In this study, it was verified that the contact angle analysis using a proper polymer is an appropriate method for evaluation of the surface energy performance of copolymer with the attribute of high segregation energy. For an application of the lithographic process, control of the top surface energy is required in order to obtain the perfect perpendicular orientation.

Acknowledgments

A part of this work was funded by the New Energy and Industrial Technology Development Organization (NEDO) under the EIDEC project.

References

1. 

J. Bang et al., “Block copolymer nanolithography: translation of molecular level control to nanoscale patterns,” Adv. Mater., 21 4769 (2009). http://dx.doi.org/10.1002/adma.200803302 ADVMEW 0935-9648 Google Scholar

2. 

J. Y. Cheng et al., “Templated self-assembly of block copolymers: top-down helps bottom-up,” Adv. Mater., 18 2505 (2006). http://dx.doi.org/10.1002/(ISSN)1521-4095 ADVMEW 0935-9648 Google Scholar

3. 

J. Y. Cheng et al., “Dense self-assembly on sparse chemical patterns: rectifying and multiplying lithographic patterns using block copolymers,” Adv. Mater., 20 3155 (2008). http://dx.doi.org/10.1002/adma.v20:16 ADVMEW 0935-9648 Google Scholar

4. 

M. P. Stoykovich et al., “Directed assembly of block copolymer blends into nonregular device-oriented structures,” Science, 308 1442 (2005). http://dx.doi.org/10.1126/science.1111041 SCIEAS 0036-8075 Google Scholar

5. 

R. Ruiz, R. L. Sandstrom and C. T. Black, “Induced orientational order in symmetric diblock copolymer thin films,” Adv. Mater., 19 587 (2007). http://dx.doi.org/10.1002/(ISSN)1521-4095 ADVMEW 0935-9648 Google Scholar

6. 

K. Naito et al., “2.5-inch disk patterned media prepared by an artificially assisted self-assembling method,” IEEE Trans. Mag., 38 1949 (2002). http://dx.doi.org/10.1109/TMAG.2002.802847 IEMGAQ 0018-9464 Google Scholar

7. 

T. Yamaguchi and H. Yamaguchi, “Two-dimensional patterning of flexible designs with high half-pitch resolution by using block copolymer lithography,” Adv. Mater., 20 1684 (2008). http://dx.doi.org/10.1002/(ISSN)1521-4095 ADVMEW 0935-9648 Google Scholar

8. 

“International technology roadmap for semiconductors,” (2008) http://www.itrs.net/home.html Google Scholar

9. 

C. Bencher et al., “Self-assembly patterning for sub-15 nm half-pitch: a transition from lab to fab,” Proc. SPIE, 7970 79700F (2011). http://dx.doi.org/10.1117/12.881293 PSISDG 0277-786X Google Scholar

10. 

T. Ohta and K. Kawasaki, “Equilibrium morphology of block copolymer melts,” Macromolecules, 19 2621 (1986). http://dx.doi.org/10.1021/ma00164a028 MAMOBX 0024-9297 Google Scholar

11. 

F. S. Bates and G. H. Fredrickson, “Block copolymer thermodynamics: theory and experiment,” Annu. Rev. Phys. Chem., 41 525 (1990). http://dx.doi.org/10.1146/annurev.pc.41.100190.002521 ARPLAP 0066-426X Google Scholar

12. 

C. A. Ross et al., “Si-containing block copolymers for self-assembled nanolithography,” J. Vac. Sci. Technol. B, 26 2489 (2008). http://dx.doi.org/10.1116/1.2981079 JVTBD9 0734-211X Google Scholar

13. 

N. Kihara et al., “Fabrication of 5 Tdot/in.2 bit patterned media with servo pattern using directed self-assembly,” J. Vac. Sci. Technol. B, 30 06FH02 (2012). http://dx.doi.org/10.1116/1.4763356 JVTBD9 0734-211X Google Scholar

14. 

H. Yoshida et al., “Topcoat approaches for directed self-assembly of strongly segregating block copolymer thin films,” J. Photopolym. Sci. Technol., 26 55 (2013). http://dx.doi.org/10.2494/photopolymer.26.55 JSTEEW 0914-9244 Google Scholar

15. 

K. Asakawa and T. Hiraoka, “Nanopatterning with microdomains of block copolymers using reactive-ion etching selectivity,” Jpn. J. Appl. Phys., 41 6112 (2002). http://dx.doi.org/10.1143/JJAP.41.6112 JJAPA5 0021-4922 Google Scholar

16. 

H. Yoshida et al., “Directed self-assembly with density multiplication of cage silsesquioxane-containing block copolymer via controlled solvent annealing,” J. Photopolym. Sci. Technol., 24 557 (2011). http://dx.doi.org/10.2494/photopolymer.24.577 JSTEEW 0914-9244 Google Scholar

17. 

T. Hirai et al., “Hierarchical nanostructures of organosilicate nanosheets within self-organized block copolymer films,” Macromolecules, 41 4558 (2008). http://dx.doi.org/10.1021/ma800872v MAMOBX 0024-9297 Google Scholar

18. 

C. Chiou et al., “Hydrogen bond interactions mediate hierarchical self-assembly of POSS-containing block copolymers blended with phenolic resin,” Macromolecules, 47 8709 (2014). http://dx.doi.org/10.1021/ma502180c MAMOBX 0024-9297 Google Scholar

19. 

J. Chiefari et al., “Living free-radical polymerization by reversible addition-fragmentation chain transfer: the RAFT process,” Macromolecules, 31 5559 (1998). http://dx.doi.org/10.1021/ma9804951 MAMOBX 0024-9297 Google Scholar

20. 

P. Mansky et al., “Controlling polymer-surface interactions with random copolymer brushes,” Science, 275 1458 (1997). http://dx.doi.org/10.1126/science.275.5305.1458 SCIEAS 0036-8075 Google Scholar

21. 

S. Ham et al., “Microdomain orientation of PS-b-PMMA by controlled interfacial interactions,” Macromolecules, 41 6431 (2008). http://dx.doi.org/10.1021/ma8007338 MAMOBX 0024-9297 Google Scholar

22. 

C. M. Bates et al., “Polarity-switching top coats enable orientation of sub-10-nm block copolymer domains,” Science, 338 775 (2012). http://dx.doi.org/10.1126/science.1226046 SCIEAS 0036-8075 Google Scholar

Biography

Naoko Kihara received her MS degree in photochemistry from Ochanomizu University. She joined Toshiba Corporation in 1983 and has been working on organic materials for fabrication electric devices. Since 2013, she has been working for DSA development program in EUVL Infrastructure Development Center, Inc. Currently, she is in DSA Research Department for DSA process development.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Naoko Kihara, Yuriko Seino, Hironobu Sato, Yusuke Kasahara, Katsutoshi Kobayashi, Ken Miyagi, Shinya Minegishi, Teruaki Hayakawa, Koichi Yatsuda, Tomoharu Fujiwara, Noriyuki Hirayanagi, Hideki Kanai, Yoshiaki Kawamonzen, Katsuyoshi Kodera, and Tsukasa Azuma "Sub-10-nm patterning process using directed self-assembly with high χ block copolymers," Journal of Micro/Nanolithography, MEMS, and MOEMS 14(2), 023502 (9 April 2015). https://doi.org/10.1117/1.JMM.14.2.023502
Published: 9 April 2015
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Directed self assembly

Etching

Polymethylmethacrylate

Optical lithography

Silicon

Polymers

Lithography

Back to Top