Open Access
16 May 2017 Impact of tool design on defect detection sensitivity in extreme ultraviolet actinic blank inspection
Yow-Gwo Wang, Andrew R. Neureuther, Patrick P. Naulleau
Author Affiliations +
Abstract
We discuss the impact of various tool design perspectives on defect detection sensitivity for dark-field-based extreme ultraviolet (EUV) actinic blank inspection. We consider the impact of pixel size, EUV source type, and photon collection efficiency on critical defect signal-to-noise ratio (SNR) performance. The results show that as the pixel size approaches the target defect image size, defect SNR increases, and that pixel size also determines the dominant noise source in the inspection system. Moreover, the choice of the EUV source affects the optimal numerical aperture (NA) and illumination settings. For plasma-discharged sources, more photons provided by larger partial coherent illumination can improve the defect SNR, while coherent illumination is needed to get a higher defect SNR for synchrotron-based source. In the end, we show that simply increasing the photon collection efficiency by using high-NA optics or increasing the source power cannot always improve the defect SNR. In a speckle-noise dominated situation, larger outer NA includes more noise than defect signal, thus resulting in a lower SNR. The impact of source power also saturates at a certain level as the system becomes speckle-noise limited compared to photon-noise limited.

1.

Introduction

Near-zero defect mask blanks, and thus high-sensitivity defect detection, are crucial to the commercial viability of extreme ultraviolet (EUV) lithography. In addition to high sensitivity, high throughput is also critical to mask blank inspection. Here, we report on a design study exploring the design tradeoff for an actinic blank inspection tool.

In previous studies focused on the optical configuration for EUV actinic blank inspection,1,2 the dark-field configuration was found to be the most effective method to get the best overall defect detection sensitivity on a range of defect types. In this paper, we focus on the dark-field configuration and study the impact of tool design choices in three categories: pixel size, source type, and photon collection efficiency.

First, this paper examines the impact of pixel size on the relationship between signal and noise. Situations in which different noise sources dominate, such as speckle noise or photon shot noise, can result in different optical designs to maximize the defect signal-to-noise ratio (SNR). Next, the impact of EUV source types on the choice of optics numerical aperture (NA) and illumination condition is discussed. The results show that the increased photon density can compensate for the loss of the defect signal at larger partial coherent illumination for plasma-discharged sources, thus larger partial coherent illumination is the preferred setting. The impact of photon collection efficiency is also discussed by varying the outer NA or increasing photon density, showing that the impact of outer NA is pixel size-dependent and increasing photon density cannot always result in higher defect SNR.

2.

Background

2.1.

Simulation Process Flow and Defect Signal-to-Noise Ratio Definitions

The simulation study uses a thin mask two-dimensional model to generate the EUV (13.5 nm) images. The data process flow is shown in Fig. 1 and is also described in Ref. 3. First, we utilize pixel-binning of the densely sampled aerial image to mimic the results under different inspection pixel sizes for both defect and surface roughness images. We do not directly add the defect height profile to the mask roughness height profile since a phase defect can be viewed essentially as an extreme event in the mask roughness height distribution. We scale the simulated detected image intensity in photons based on the illumination settings. Finally, we calculate the defect signal and the speckle noise from these images and compute the SNR as shown in

Eq. (1)

SNR=defect signalspeckle noise+photon shot noise+camera noise.

Fig. 1

Data process flow. Images shown in this figure are in dark-field imaging mode. Images physical size: 10×10  μm2 (zoom-in images physical size: 200×200  nm2). Roughness image in raw image section is in log-scale to improve the visibility. The rest of the images are in linear scale. Binned defect images are not shown in this figure since the defect intensity distribution is smaller than the pixel size and thus the ideal binned defect image contains only a single bright pixel in an otherwise completely dark field.

JM3_16_2_023502_f001.png

2.2.

Simulation Parameters

As shown in Fig. 2(a), Gaussian-shaped phase defects with height either 0.5 or 1 nm and a full width at half maximum (FWHM) 60 nm are used based on the typical results describe in Ref. 4. For speckle, the mask roughness is 61 pm, which is within the range (50 to 70 pm) for a typical high-quality EUV mask blank,5 and the correlation length of the mask roughness is 100 nm based on experimental results.6 For the imaging conditions, we assume a dark-field configuration as described in Ref. 7 with an outer NA of 0.15 to 0.5, inner NA (central obscuration) of 0.025 to 0.25, and a disk illumination NA of 0.01 to 0.25 are used as shown in Fig. 2(b). For the detector conditions and photon densities, the pixel size is in the range of 100 to 500 nm in mask scale, and the photon level on the mask is 0.1 to 62.5  photons/nm2. We note that the actual number of photons per pixel detected at a CCD camera is only 1% to 5% of the bright-field level due to the dark-field configuration, the EUV mirror loss, and the CCD camera efficiency. To consider the impact of different source types in the following discussion, we assume discharge produced plasma (DPP)/laser-assisted discharge plasma (LDP) sources to have a varied photon density depending on illumination NA, while we assume compact synchrotron or free electron laser sources to have a fixed photon density as a function of illumination NA.810

Fig. 2

(a) Defect information. (b) Optics and illumination definition.

JM3_16_2_023502_f002.png

3.

Simulation Results

3.1.

Impact of Pixel Size on Defect Signal-to-Noise Ratio

Pixel size determines the image resolution and also the inspection efficiency. Pixel size depends on both the CCD camera pixel size and magnification of the optics system. The impact of pixel size on the defect signal and the noise under fixed optics and illumination setting is shown in Fig. 3. As pixel size increases, more photons per pixel results in larger signal and noise. A 30% signal strength enhancement is achieved, while the noise is increased by 300% when the pixel size is increased from 100 to 500 nm. This is due to the size of the target defect image being smaller than the smallest considered pixel size. Therefore, further increasing the pixel size has a minor impact on signal strength compared with speckle noise. Moreover, the relationship between speckle noise and signal shot noise varies as well. As shown in Fig. 3(b), the signal shot noise is the dominant noise source at smaller pixel size, meaning that the defect SNR can be improved by the larger signal strength coming from increased photon density. On the other hand, the speckle noise is the dominant noise source at larger pixel sizes, meaning that the signal and noise increase simultaneously as the photon density increases. Figure 3(c) shows the defect SNR comparison between various pixel sizes for different optical configurations. The results indicate that compared with the NA of the optical system, the pixel size is the dominant factor on defect SNR performance. The results shown here indicate that there is a trade-off between inspection efficiency and defect detection sensitivity. Larger pixel size can scan the mask blank faster, but the defect sensitivity is lower.

Fig. 3

(a) Normalized defect signal (black) and noise (blue) under various pixel sizes, relative to the value at 100-nm pixel size. Noise includes signal shot noise, speckle noise, and camera noise. Photon density: 5.6  photons/nm2. Pixel size: 100 to 500 nm. Outer NA/inner NA/Illumination NA: 0.25/0.075/0.075. (b) The impact of pixel size on different noise sources. Signal shot noise (black) and speckle noise (red). Photon density: 5.6  photons/nm2. Pixel size: 100 to 500 nm. Outer NA/inner NA/Illumination NA: 0.25/0.075/0.075. (c) Defect SNR under various pixel sizes at different optical NA configurations. Photon density: 10  photons/nm2. Pixel size: 100 to 500 nm. Defect and roughness used in this figure: height=1  nm, FWHM=60  nm. Mask roughness: 61 pm.

JM3_16_2_023502_f003.png

3.2.

Impact of Source Type on Defect Signal-to-Noise Ratio

The relationship between partial coherence and collected source power depends on the source type. Synchrotron type sources allow a new degree of freedom in that the partial coherence can be adjusted independently of the collected source power. This can be achieved, for example, using a scanning mirror to create arbitrary pupil-fill patterns from the coherent beam while maintaining the photon density.11 On the other hand, for plasma-discharge sources like LDP or DPP, larger illumination NA increases the partial coherence and at the same time increases the photon density.

Figure 4(a) shows the impact of larger illumination NA and photon density on defect signal strength for LDP/DPP sources. The results indicate that larger partial coherent illumination actually improves the defect signal strength by compensating for the loss of defect signal from increasing photon density. Therefore, in the singal-shot-noise-dominated situation, different source types need different illumination settings to reach optimum defect SNR. As shown in Fig. 4(b), larger partial coherent illumination results in better defect SNR for discharge-type sources, whereas coherent illumination results in higher defect SNR for synchrotron-type sources.

Fig. 4

(a) Impact of illumination NA on signal strength (blue) and photon density (green) for a plasma source. The signal is normalized relative to the value at illumination NA=0.025. Defect size: height=1  nm, FWHM=60  nm. Pixel size: 100 nm. Outer NA: 0.5. Inner NA is the same as illumination NA for this plot. (b) Defect SNR at different optical NA configurations and source types. Defect size: height=0.5  nm, FWHM=60  nm. Mask roughness: 61 pm. Photon density: 0.1 to 62.5  photons/nm2 for DPP/LDP source (10  photons/nm2 at illumination NA/inner NA=0.1). 10  photons/nm2 for synchrotron/FEL source. Pixel size: 100 nm.

JM3_16_2_023502_f004.png

In the dark-field configuration, the relationship between illumination NA and inner NA (central obscuration) determines the defect signal strength and thereby the overall defect SNR. Figure 5(a) shows the impact of the mismatch between illumination and inner NA on defect signal strength: the defect signal using coherent illumination is reduced to 0.5% of the reference value at illumination NA=0.25 when the optical system is using an inner NA=0.25. Therefore, the illumination NA has to match the inner NA (central obscuration) to maximize the defect SNR as shown in Fig. 5.

Fig. 5

(a) Impact of illumination NA on defect signal under fixed inner NA (central obscuration). Defect size: height=1  nm, FWHM=60  nm. Pixel size: 100 nm. Outer NA/inner NA: 0.5/0.25. (b) Defect SNR under various illumination NA and inner NA (central obscuration) conditions using DPP/LDP source. Defect size: height=0.5  nm, FWHM=60  nm. Mask roughness: 61 pm. Photon density: 0.1 to 5.6  photons/nm2 (10  photons/nm2 at illumination NA/inner NA=0.1). Pixel size: 100 and 500 nm. Outer NA: 0.15. (c) Defect SNR under various illumination NA and inner NA (central obscuration) conditions using synchrotron/FEL sources. Defect size: height=0.5  nm, FWHM=60  nm. Mask roughness: 61 pm. Photon density: 10  photons/nm2. Pixel size: 100 and 500 nm. Outer NA: 0.15.

JM3_16_2_023502_f005.png

3.3.

Impact of Photon Collection Efficiency on Defect Signal-to-Noise Ratio

With larger outer NA, more scattered light can be collected by the inspection system, but this does not necessarily translate to a higher defect SNR. Figure 6(a) shows the trend of signal and noise as a function of pixel size as the outer NA increases. At pixel size=100  nm, which is roughly the size of the defect image, increasing the outer NA initially increases the signal faster than the noise, thereby resulting in higher SNR, but the defect SNR improvement saturates as the outer NA exceeds 0.3. On the other hand, at pixel size=500  nm, larger outer NA increases the noise faster than the defect signal, thereby resulting in lower defect SNR, but the impact on defect SNR also saturates as the outer NA surpasses 0.3. Figure 6(b) shows the impact of outer NA on various noise sources under different pixel sizes. At pixel size=100  nm, signal shot noise is slightly larger than the speckle noise, and both noise sources have a similar trend as outer NA increases. At pixel size=500  nm, speckle noise is the dominant noise source, and therefore determines the trend for the noise shown in Fig. 6(a). The results here indicate that as pixel size varies, the relationship between various noise sources varies as well, which results in different responses as the outer NA increases. Also, based on the frequency distribution of the mask roughness and the defect shape, outer NA beyond 0.3 does not have a significant impact on defect SNR performance. Therefore, in order to improve the defect SNR performance, using high-NA optics to improve the photon collection efficiency is not very effective for an actinic blank inspection tool.

Fig. 6

(a) Normalized defect signal (black) and noise (blue) under various outer NA, relative to the value of NA=0.15. Noise includes signal shot noise, speckle noise, and camera noise. Photon density: 5.6  photons/nm2. Pixel size: 100 and 500 nm. Inner NA/Illumination NA: 0.075/0.075. (b) The impact of outer NA on signal shot noise (black) and speckle noise (red). Photon density: 5.6  photons/nm2. Pixel size: 100 and 500 nm. Inner NA/Illumination NA: 0.075/0.075. (c) The impact of photon density on defect SNR under fixed optical and illumination configurations. Pixel size: 100 nm (solid curve) and 500 nm (dash curve). Outer NA/inner NA/Illumination NA: 0.25/0.1/0.1. Defect and roughness used in this figure: height=1  nm, FWHM=60  nm. Mask roughness: 61 pm.

JM3_16_2_023502_f006.png

To improve the defect detection sensitivity of an existing blank inspection tool, upgrading the source power might be a cost-effective approach compared to modifying the optical imaging system. Figure 6(c) shows the impact of photon density on defect SNR under a fixed optical NA configuration. At pixel size=500  nm, the improvement saturates at less than 5  photons/nm2 with a maximum 30% SNR improvement. At pixel size=100  nm, the defect SNR improvement saturates at around 40  photons/nm2 with a maximum 270% enhancement. The difference is due to the relationship between various noise sources as shown in Fig. 3(b). However, once the speckle noise becomes the dominant noise source as photon density increases, defect SNR saturates for both pixel size conditions. The result shown here indicates that simply improving the EUV source power (photon density) does not necessarily lead to better SNR.

3.4.

How to Improve the Defect Signal-to-Noise Ratio by Varying Pixel Size and Source Power Under Fixed Optical Configuration

As the technology node advances, the requirement on EUV mask blank defectivity naturally tightens. In this section, we discuss the possibility to improve the critical defect SNR by upgrading the source power or changing the pixel size under a fixed tool optical configuration.

The outer NA, inner NA, and illumination NA used in this section are 0.25, 0.1, and 0.1, respectively. The critical defect sizes are 0.5 and 1.0 nm in height, with 1 nm representing the initial EUV technology node requirement and 0.5 nm representing the expected next generation node requirement. In both cases, we assume a defect width of 60 nm. The mask roughness used in this section is again 61 pm with a correlation length 100 nm. The threshold defect SNR is set to 15 for the critical defects to ensure a high capture rate.

Figure 7 shows the defect SNR for both critical defects under various photon densities and pixel sizes. As discussed in the Sec. 3.1, pixel size has the dominant impact on defect SNR while the impact of photon density saturates in the 5 to 10  photons/nm2 range. For a 1-nm height defect, a pixel size of 500  nm and a photon density near 2  photons/nm2 is sufficient to reach the target SNR value. However, for a 0.5-nm defect, the pixel size must be reduced to 100 nm and the photon density increased to 4  photons/nm2 to reach the target. The results also indicate that with a 500-nm pixel size, increasing photon density does not improve the defect SNR for the 0.5-nm defect. As explained in the Sec. 3.3, this is a result of the defect SNR saturating at higher photon densities due to the increasing speckle noise along with the defect signal.

Fig. 7

(a) Critical defects SNR at focus under various pixel sizes and photon densities: Defect size: height=0.5 (Right) and 1 (Left) nm, FWHM=60  nm. Outer NA/inner NA/Illumination NA: 0.25/0.1/0.1. Photon density: 1 to 50  photons/nm2. Pixel size: 100 to 500 nm. (b) The list shows the required pixel size and photon density for each defect to get SNR15.

JM3_16_2_023502_f007.png

Table 1 shows the required source power for actinic blank inspection to reach the desired defect SNR under the same inspection time for the two different critical defect sizes. We use the 1-nm defect as the reference case. The effective source power in the illumination cone and the DPP source power in 2π sr (solid angle) are calculated based on published results.7 For the 0.5-nm defect, there are two scenarios: with or without increased CCD pixel count, which can lead to different source power requirements. Without increasing CCD pixel count, the scanning speed of the inspection tool has to increase due to smaller field size. This also reduces the exposure time for each pixel thus introducing another burden on the source power requirement. A 50× increase in source power is needed due to smaller pixel size and higher photon density requirement. With increased CCD pixel count, a larger footprint on the mask can be covered in order to keep the scanning speed the same to compensate the impact of the smaller pixel size. With this configuration, we only need 2× in source power for the smaller critical defect. For the DPP/LDP source, a 10-W source power in 2π sr (solid angle) is enough under this new configuration for the 0.5-nm defect with an SNR up to 15.

Table 1

The EUV source power requirement under fixed inspection time for EUV actinic blank inspection tool.

Critical defectH=1  nm (reference)H=0.5  nm (target)
Pixel size requirement (nm)500100
Scanning speed1×25×1×a
Photon density requirement1×2×2×
Effective source power (mW in 16-deg cone angle)261300 (50×)52 (2×)a
DPP source power (W/2π sr)525010a

aWith increased CCD camera pixel counts to cover a larger footprint on the mask, which can keep the scanning speed the same even under smaller pixel size.

4.

Conclusion

This paper explored the impact of various tool parameters on defect SNR in EUV actinic blank inspection. We first showed the dominance of pixel size on defect SNR. Increasing pixel size significantly beyond the defect size collects much more speckle noise and thus reduces SNR. The results also showed that the dominant noise source transitions from defect shot noise to speckle noise as pixel size increases. Studying the impact of EUV source type shows that a plasma source works best with larger NA illumination, whereas the synchrotron-based EUV source works best with nearly coherent illumination given that the mask illumination photon density is assumed to increase with collection NA for plasma sources, but be fixed as a function of collection NA for synchrotron sources. The impacts of increasing the outer NA and photon density are both pixel size dependent. The impact of outer NA saturates at outer NA0.3, whereas the impact of increasing photon density saturates faster with increasing pixel size. The system requirements for future critical defects show that a smaller pixel size and a stronger source power are needed to increase the critical defect SNR.

Acknowledgments

This research is sponsored by Center for Design-Enable Nanofabrication (CDEN). Member companies—ARM, ASML, Cadence, Carl Zeiss Group, Intel, KLA-Tencor, Mentor Graphics, and Qualcomm. This work was performed in part at Lawrence Berkeley National Laboratory, which is operated under the auspices of the Director, Office of Science, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.

References

1. 

Y. G. Wang et al., “Enhancing defect detection with zernike phase contrast in EUV multilayer blank inspection,” Proc. SPIE, 9422 942247 (2015). http://dx.doi.org/10.1117/12.2087532 PSISDG 0277-786X Google Scholar

2. 

Y. G. Wang, A. Neureuther and P. Naulleau, “Inspection efficiency comparison between phase contrast and dark field microscopy for EUV actinic blank inspection,” in Proc. of Int. Workshop on EUV Lithography, (2016). Google Scholar

3. 

Y. G. Wang, A. Neureuther and P. Naulleau, “Impact of noise sources and optical design on defect detection sensitivity in extreme ultraviolet actinic pattern inspection tool,” J. Micro/Nanolith. MEMS MOEMS, 16 (1), 013504 (2017). http://dx.doi.org/10.1117/1.JMM.16.1.013504 Google Scholar

4. 

T. Liang et al., “EUV mask infrastructure readiness and gaps for TD and HVM,” Proc. SPIE, 9635 963509 (2015). http://dx.doi.org/10.1117/12.2202724 PSISDG 0277-786X Google Scholar

5. 

P. Y. Yan et al., “Understanding EUV mask blank surface roughness induced LWR and associated roughness requirement,” Proc. SPIE, 9422 94220J (2015). http://dx.doi.org/10.1117/12.2087041 PSISDG 0277-786X Google Scholar

6. 

P. Naulleau et al., “Extreme ultraviolet mask roughness: requirements, characterization, and modeling,” Proc. SPIE, 9256 92560J (2014). http://dx.doi.org/10.1117/12.2070303 PSISDG 0277-786X Google Scholar

7. 

T. Terasawa et al., “Development of actinic full field EUV mask blank inspection tool at MIRAI-Selete,” Proc. SPIE, 7271 727122 (2009). http://dx.doi.org/10.1117/12.813602 PSISDG 0277-786X Google Scholar

8. 

G. Schriever et al., “Laser-produced plasma versus laser-assisted discharge plasma: physics and technology of extreme ultraviolet lithography light sources,” J. Micro/Nanolith. MEMS MOEMS, 11 (2), 021104 (2012). http://dx.doi.org/10.1117/1.JMM.11.2.021104 Google Scholar

9. 

I. Mochi et al., “RESCAN: an actinic lensless microscope for defect inspection of EUV reticles,” 1014310 (2017). http://dx.doi.org/10.1117/12.2258086 Google Scholar

10. 

E. Syresin et al., “Proposal for an accelerator complex for extreme ultraviolet nanolithography using KW-scale FEL light source,” in Proc. RuPAC WEPSB003, 178 (2010). Google Scholar

11. 

K. Goldberg et al., “Commissioning an EUV mask microscope for lithography generations reaching 8 nm,” Proc. SPIE, 8679 867919 (2013). http://dx.doi.org/10.1117/12.2011688 PSISDG 0277-786X Google Scholar

Biography

Yow-Gwo Wang is a PhD candidate in electrical engineering and computer sciences at the University of California, Berkeley, and is also a graduate student researcher at the Center for X-ray Optics, Lawrence Berkeley National Laboratory. His current research project is focused on design, fabrication, and testing new concepts for high-sensitivity EUV aerial image inspection under the guidance of Prof. Andrew Neureuther and Dr. Patrick Naulleau. He was the recipient of SPIE BACUS Scholarship in 2015.

Andrew R. Neureuther received his PhD in electrical engineering from the University of Illinois, Urbana, in 1966. In 1966, he joined the Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, as a faculty member. His work is mainly in the field of lithography, CAD algorithms, and design for manufacturing. He was elected to the National Academy of Engineering in 1995 and was the recipient of BACUS Lifetime Achievement Award, the SPIE Zernike Award, and the SPIE Advanced Lithography Special Award for Career-Long Contribution to the Art and Science of Lithography.

Patrick P. Naulleau received his BS and MS degrees in electrical engineering from Rochester Institute of Technology, Rochester, New York, USA, and his PhD in electrical engineering from the University of Michigan, Ann Arbor, in 1997. He joined the Berkeley Lab working in EUV lithography and metrology. In April 2010, he became director of the Center for X-ray Optics at the Berkeley Lab. He has more than 300 publications and 19 patents and is a fellow of OSA and SPIE.

© 2017 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2017/$25.00 © 2017 SPIE
Yow-Gwo Wang, Andrew R. Neureuther, and Patrick P. Naulleau "Impact of tool design on defect detection sensitivity in extreme ultraviolet actinic blank inspection," Journal of Micro/Nanolithography, MEMS, and MOEMS 16(2), 023502 (16 May 2017). https://doi.org/10.1117/1.JMM.16.2.023502
Received: 21 March 2017; Accepted: 26 April 2017; Published: 16 May 2017
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Signal to noise ratio

Extreme ultraviolet

Inspection

Defect detection

Interference (communication)


CHORUS Article. This article was made freely available starting 16 May 2018

Back to Top