31 July 2018 Subresolution assist features impact and implementation in extreme ultraviolet lithography for next-generation beyond 7-nm node
Author Affiliations +
Abstract
The next-generation beyond 7-nm node potentially requires the implementation of subresolution assist features (SRAF) with extreme ultraviolet (EUV) lithography. This paper aims at providing a clear SRAF strategy for the next-generation beyond 7-nm node designs through a series of experiments. Various factors are considered, including stochastic effects, three-dimensional (3-D) mask effects, through-slit effects, aberrations, and pixelated source mask optimization (SMO) sources. We consider process variability bands with a variety of process conditions, including focus/dose/mask bias changes and also the normalized image log-slope/image log-slope as our objective functions, to determine what the best SRAF solution is for a set of test patterns. Inverse lithography technology is implemented to optimize both the main feature (MF) mask and SRAF placement, in particular, asymmetric SRAF placement to balance the 3-D mask effects. SRAF can potentially mitigate image shift through-focus, i.e., nontelecentricity, caused by EUV 3-D shadowing effect. This shadowing effect is pattern-dependent and contributes to the overlay variation. As we approach the next-generation beyond 7-nm node, this image shift can be more significant relative to the overlay budget, hence, we further investigate the impact of SRAF placement to the image shift. Moreover, the center of focus shift due to the large 3-D mask absorber thickness can be potentially mitigated by SRAF implementation. The common process window is significantly impacted by both the center of focus shift and the individual depth of focus and is evaluated using both metal and contact layer test cases. We study the source impact to SRAF insertion by experimenting with both a symmetric source (standard source) and an asymmetric source (SMO source). Finally, we understand the importance of using full flare map and full through-slit model (including aberration variation through-slit) in the MF correction. Furthermore, we evaluate the need of using full models in SRAF insertion. This is a necessary step to determine the strategy of SRAF implementation for the next-generation beyond 7-nm node.
© 2018 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2018/$25.00 © 2018 SPIE
Vivian Wei Guo, Fan Jiang, Alexander Tritchkov, Srividya Jayaram, Scott Mansfield, Larry Zhuang, Yuyang Sun, Xima Zhang, Todd Bailey, and James Word "Subresolution assist features impact and implementation in extreme ultraviolet lithography for next-generation beyond 7-nm node," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(1), 011003 (31 July 2018). https://doi.org/10.1117/1.JMM.18.1.011003
Received: 26 April 2018; Accepted: 27 June 2018; Published: 31 July 2018
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
SRAF

Photomasks

Metals

Extreme ultraviolet lithography

Personal protective equipment

Photovoltaics

Extreme ultraviolet

Back to Top