Open Access
6 May 2020 Mask-absorber optimization: the next phase
Author Affiliations +
Abstract

We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n  <  0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.

1.

Introduction

EUV lithography is entering into semiconductor manufacturing and the achievable resolution is gated by the stochastic effects.1 To mitigate stochastic defects, it is crucial to optimize the aerial image contrast.2 In EUV lithography, the aerial image contrast is reduced by the mask 3D (M3D) effects, such as the topography of the absorber and the depth of the multilayer influence the diffraction behavior. In previous work, we showed that it is very beneficial to study the M3D effects at diffraction level using the phase of the diffracted orders.3

Improving the aerial image contrast will lead to a reconsideration of the resolution enhancement techniques. Among those are the advanced mask types, such as the alternating phase-shift mask (PSM) and the attenuated PSM. When applying an attenuated PSM in krypton fluoride and argon fluoride lithography, part of the light travels through the absorber, thereby obtaining a phase shift of π in the electric field compared to the light coming from the cleared areas. This phase-shift effect improves the aerial image contrast. In this work, we will extract the optimum phase retardation in real space for EUV masks by studying the phase and intensity of the diffracted orders in Fourier space.

2.

Difference between EUV and DUV Phase-Shift Masks

In previous work, we showed that for EUV imaging, the optimum PSM has a phase shift around 1.2π,4 which was also found in other research studies.5 We found that the optimum phase shift of 1.2π holds for different materials, resulting in mask embodiments with a different refractive index, absorptivity, and absorber thickness. This optimum phase shift for an EUV attenuated PSM is different from the optimum for its deep ultraviolet (DUV) counterpart, where optimum imaging is dominated by balancing of the diffraction-order amplitudes. The balancing is optimal if the absorber phase shift equals π, which results in destructive interference of the zeroth diffraction order. This difference is due to the presence of strong M3D effects, caused by the large thickness of the patterned absorber compared to the wavelength.

Especially at low-k1 EUV imaging, where incident angles are larger and pitches are smaller, M3D mitigation is indispensable. A good attenuated PSM for low-k1 EUV imaging relies on two principles.

  • 1. Proper balancing of the diffraction-order amplitudes.

  • 2. Mitigating the M3D-induced phase difference between the zeroth and subsequent diffraction orders, since this phase difference results in M3D artifacts such as a pattern shift (PS).

Both principles are of paramount importance for good EUV imaging. Figure 1 compares the imaging of pitch 26-nm dense lines printed on a 0.33-NA scanner using a tantalum (Ta)-based mask (with a thickness of 60 nm, Ta60) and a ruthenium (Ru)-based attenuated PSM (with a thickness of 35 nm, Ru35). The Ru-based attenuated PSM shown here is based on an optimization from our previous work.4 For this pitch, which is near the resolution limit of the system, only the zeroth and first diffraction orders are captured by the scanner optics. These two orders form the image at wafer level, also described as two-beam imaging. In Figs. 1(a) and 1(b), we study the diffraction orders coming off of the mask when illuminated with a monopole at the telecentric point (σx=0.79 and σy=0); at the telecentric point, the zeroth and first diffraction orders come off at equal angles leading to an image that does not shift position through focus. For this use case, for the Ta-based mask, the ratio between the amplitudes of the zeroth and first orders is actually very close to optimal value [Fig. 1(a), red]. Here, the Ru-based attenuated PSM worsens the balancing by having the first-order amplitude exceeding that of the zeroth- order. However, the phase difference between the diffraction orders is substantially smaller for the Ru-based attenuated PSM [Fig. 1(b)]. The phase difference between the zeroth and first diffraction orders is caused by M3D effects,3 which shows that the attenuated PSM strongly mitigates the M3D effects. The overall imaging performance using a leaf-shaped dipole is shown in Fig. 1(c). The Ru-based attenuated PSM (black) shows a normalized image log slope (NILS) increase of 0.4 with respect to its Ta-based reference (red). This shows that reducing the M3D-induced phase offset between the zeroth and first diffraction order is crucial for low-k1 EUV imaging. This is an example for a specific use case, which aims to emphasize the importance of mitigating the M3D phase offset between the diffraction orders.

Fig. 1

Imaging of pitch 26-nm dense lines printed on a 0.33-NA system using a Ta-based mask (red) and Ru-based attenuated PSM (black). The mask descriptions on the x axes refer to the absorber material and thickness. (a) The amplitude ratio between the zeroth and first diffraction orders, and (b) the phase difference between the zeroth and first diffraction orders. (a) and (b) are obtained with point-source illumination at the telecentric point (σx=0.79 and σy=0); note that a monopole at σx=0.79 and σy=0 would result in the same imaging in the absence of aberrations. The dashed lines indicate the desired value. (c) The NILS obtained with a leaf-shaped dipole for the two mask types.

JM3_19_2_024401_f001.png

3.

Double Diffraction Model Calculation of M3D and Absorber Phase-Shift Impact

3.1.

Double Diffraction Model

It is observed that the EUV PSM shows optimal performance for a larger 1.2π instead of 1.0π mask-induced phase shift.4,5 To understand what the physical reason is for this larger phase-shift requirement, we will use existing models and comparison to rigorous simulations.3,68 From the double diffraction model, the contribution of the individual mask optical components to the diffraction spectrum is calculated. A short description of the model is provided, more in-depth material is found in the referenced material. Figure 2 shows the flow in which the individual optical components in the mask are modeled using Eqs. (1)–(6).

Fig. 2

Model components to represent the 3D EUV mask, used to calculate the final diffraction spectrum.

JM3_19_2_024401_f002.png

The first step is to calculate the light diffraction of the grating. This calculation is performed for a thin mask. The mask has a complex transmission coefficient (ta) with a phase and absorbance depending on the absorber material, as calculated in Eq. (1). Then, a second diffraction occurs for the reflected light. For each diffraction order from the first absorber interaction, we calculate the diffraction spectrum at the second absorber interaction. All the obtained diffraction spectra are added together, for which it is noted that the two diffractions of, for example, the order (+1,+1) will add to the same component as the (+2,+0). The calculation of the final double diffraction spectrum is performed using Eq. (6). Next, the model is made more complete by adding different contributors to the thick 3D mask model. First is the absorber edge contribution to the field distortion, which is described using a delta function with strength E on the location of the edge.6,7 In the diffraction spectrum, this translates to the cosine term shown in Eq. (2). In this paper, we use the example case of dense vertical lines with pitch 32 nm and critical dimension (CD) 16 nm (1×) for a 0.33-NA scanner. The light is incident on the mask with chief ray angle=6  deg and a single spot in the illumination pupil of sigma-x=0.64, which corresponds to telecentric incidence on the mask. The parameter (αm) indicates the diffraction angle for all orders (m), calculated in Eq. (3). A delta function (δm,0) is used to describe transmitted light into the zeroth order. The two complex parameters in this model are ta and E, which are dependent on the absorber material (refractive index n and k), absorber height (d), and light polarization. These parameters are obtained by fitting them to the simulated diffraction spectrum of the mask grating; the procedure for the fitting is described in Sec. 8.

Eq. (1)

tae2πλ·d[i(1n)+k],

Eq. (2)

Am,thin+edge=(1+ta)cdpitchsinc(αmcdλ)+δm,0+2Epitchcos(παmcdλ),

Eq. (3)

αm=m·λpitch.

To improve the physical mask model, the propagation of light through the thick mask is calculated. It is assumed that on average diffraction occurs in the middle of the thick absorber. The propagation of light to the middle of the absorber is calculated using the incidence angle αi and from the middle to the bottom of the absorber propagation angle is calculated for the diffracted light with αm. Propagation through the absorber is calculated in Eq. (4). In addition, the diffracted light propagates through the multilayer to the depth of the effective reflective plane and back to the absorber. Light propagation through the multilayer mirror is calculated using Eq. (5), where D is the effective reflective plane depth and rML is the angular-dependent mirror reflectivity as calculated for a standard molybdenum silicon (MoSi) multilayer.

Eq. (4)

Aincomingm,thick=eiπλd1αm2·Am,thin+edge·eiπλd1αi2,

Eq. (5)

R(αm)=rML(αm)·ei4πλD1αm2.

Finally, a second diffraction occurs for the reflected light at the absorber grating. For each diffraction order from the first absorber interaction, we calculate the diffraction spectrum at the second absorber interaction. All the obtained diffraction spectra are added together, for which it is noted that the two diffractions of, for example, the order (+1,+1) will add to the same component as the (+2,+0). The calculation of the final double diffraction spectrum is performed using Eq. (6).

Eq. (6)

Aincomingn+m,total=Amn,thick·R(αm)·Aincomingm,thick.

A validation of the model is performed by comparing data from the double diffraction model to rigorous simulations. Figure 7 shows both rigorous simulation and modeled data. It is found that the model is in good agreement with the rigorous 3D simulations throughout the space of absorber n, k, and thickness studied. The main difference is that swing curves, which are a consequence of interference of EUV light within the absorber, are not described in the model. As a result, the fringes through absorber thickness found in simulations are not found with the model. The double diffraction model enables one to investigate the impact of individual components in the mask on the final diffraction spectrum.

3.2.

Impact of Mask-Induced Phase Shift

In the preceding section, we discussed how the diffraction-order phase and amplitude profile are calculated using the double diffraction model, and it can be obtained through rigorous simulations. One of the most critical properties of the diffraction profile is the phase difference between the zeroth and first diffracted orders. Specifically, for high-resolution imaging of dense lines, the imaging is performed with two-beam imaging, so only the zeroth and first diffracted orders contribute to imaging at wafer level. Figure 3 shows how for two-beam imaging using a monopole the phase delta between the two orders results directly in a PS, which in turn results in NILS fading and M3D effects such as best-focus shift, when using dipole illumination.9

Fig. 3

The relationship between the diffraction phase delta and the monopole PS for two-beam imaging. (a) Incident beam (dark blue) and zeroth and first diffraction orders (blue and green) from the mask. (b) No phase difference between zeroth and first diffraction orders, resulting in centered image at wafer level (right, black) (c) Phase difference between zeroth and first diffraction orders, resulting in shifted image (d, red).

JM3_19_2_024401_f003.png

Developing a mask that provides a diffraction spectrum with close to zero phase delta between the zeroth and first diffracted orders can enable close to ideal imaging performance. This may strongly increase NILS and reduce M3D effects. In the following part of this section, we investigate how the mask absorber affects the diffraction phase delta. Specifically, the absorber-induced phase shift to light transmitted through the material is investigated. We find how PSM materials can be tuned to reduce the diffraction-order phase delta. The absorber phase shift is described in Eq. (1) as the phase of the absorber ta, the phase shift for double transmission is provided in Eq. (7):

Eq. (7)

Mask phase shift=22π(n1)*dλ.

The phase-shift impact is analyzed through the phase difference between the zeroth and first diffracted orders. We investigate the case of pitch 32-nm vertical lines on a 0.33-NA scanner, where only these two orders contribute to the wafer image. For ideal imaging, this phase difference will be zero.

Figures 4(a) and 4(b) are calculated using Eq. (2) for an assumed complex value of E and amplitude of ta. The phase of ta is investigated and varied from 0 to 2π, the phase of ta is given on the x axis. The values for E and ta are based on the 35-nm Ru absorber, with n=0.88 and k=0.02. We observe that for single diffraction [Fig. 4(a)] without edge contributions from the thick absorber (black), the phase delta follows an oscillating profile that has an optimum (zero crossing) at an absorber phase of 0, π, and 2π. When including the absorber edge contribution E (blue), as described in Eq. (2), the optimum absorber phase does not change significantly. When investigating a hypothetical thin mask with double diffraction [a combination of Eqs. (1) and (6), excluding impact of light propagation through the mask], the phase delta shifts up, which influences the optimal absorber phase shift. In combination with the double diffractive mask, the absorber edge contribution has a slightly larger impact on the optimal absorber phase.

Fig. 4

Phase difference between zeroth and first diffracted order, as a function of the absorber-induced phase shift for (a) single diffraction and (b) double diffraction, (c) magnified version of (b) showing the shift at the zero-crossing point. Here, the mask phase shift is taken as variable, normally the phase of ta is calculated with Eq. (7), now the phase is varied from 0 to 2π and used for the value ta in Eq. (1).

JM3_19_2_024401_f004.png

The current case is pitch 32-nm vertical lines with monopole illumination and telecentric incidence. For this case, the optical propagation lengths for the zeroth and first diffracted order through the absorber and multilayer mirror are equal. As such light propagation will not show an impact for the diffraction phase delta on the single diffraction case. However, an impact will be found for the phase delta in the double diffraction case. This is due to the mixing of diffracted orders, which results in other diffracted orders (e.g., +2,+3,1,) also impacting the final diffraction of the zeroth and first orders captured by the scanner lens NA.8

In Fig. 5, we plot the diffraction phase delta between the zeroth and first diffracted orders versus the ta. The figure further shows the impact on the phase delta induced by light propagation path length through the thick absorber (green) and the thick multilayer (purple). The multilayer reflectivity has been simulated as a function of angle of incidence for a 40 bilayer MoSi mirror without absorber. The obtained angular reflectivity has been applied to all diffracted orders with varying propagation angles. For the case where double diffraction, absorber edge contribution, propagation through multilayer and absorber are included, the model represents a physical model of the full 3D mask, as is demonstrated in Fig. 7 the model matches well with rigorous simulations. We observe that the data for the black, blue, green, and magenta lines will reach phase delta zero at an increasingly larger phase of ta. This shows that the PSM has to be designed such that it compensates the M3D-induced phase effects.

Fig. 5

Phase difference between zeroth and first diffracted order for double diffraction with: thin absorber (black), thin absorber + edge (blue), thin absorber + edge + propagation through absorber (green), and thin absorber + edge + propagation through absorber and multilayer (magenta). A magnified figure on the right shows the shift in zero-crossing point to larger mask phase-shift values.

JM3_19_2_024401_f005.png

From Fig. 5, we calculate with the added M3D components the phase delta between diffraction orders. To reach a phase delta of zero, the full 3D mask requires that the PSM-induced phase shift is optimized to compensate for the M3D-induced effects. For various theoretical mask concepts, the optimal absorber-induced phase shift can be calculate for a PSM as shown in Fig. 6. The optimal PSM phase shift for a two-dimensional (2D) single diffractive mask is simply 1π. Then, we include double diffraction, the contribution of structure edges, and the optical path length differences for orders propagating through the thick absorber and through the thick multilayer. Finally, the optimal absorber-induced phase shift for the full 3D PSM is calculated to be 1.23π.

Fig. 6

The optimized absorber phase calculated for increasingly accurate mask models. On a single diffraction 2D mask, the expected 1π is found, while the dark blue boxes represent the flow shown in Fig. 2.

JM3_19_2_024401_f006.png

4.

Impact of Absorber Properties

Figure 3 has given a description of the diffraction phase delta between the zeroth and first diffracted orders; this phase delta has also been modeled for an absorber of 60-nm Ta and for 35-nm Ru in Fig. 1(b). In this section, the phase delta is calculated for masks with varying refractive index n and k of the absorber and its thickness. Both the modeled [Fig. 3(b)] and simulated [Fig. 3(a)] phase delta are calculated and compared. Figure 7 shows the HyperLith simulations and double diffraction modeled data for masks with an absorber with n from 0.88 to 1, thickness from 30 to 70 nm, and k from 0.02 to 0.05. The models cover Ta-based absorbers, high-k absorbers, attenuated PSM absorbers, and also materials with n1 so that absorbers with low-n and high-k can be investigated. It can be seen that the results obtained from the double diffraction model are in good agreement with those from the HyperLith simulations. The trends through n, k, and thickness are well-reproduced with the exception of the swing curves due to interference between the top of the absorber and the multilayer reflective plane that are captured in the HyperLith simulations, but not in the double diffraction model. The trends through absorber n, k, and thickness show that the diffraction phase delta can be optimized with attenuated PSM absorber, which has a low refractive index n. Absorbers that apply a phase shift of 1.2π range from n=0.88 and T=34  nm to n=0.93 to T=58  nm as can be seen these materials indeed show the lowest diffraction phase delta. Additionally, a low phase delta is achieved for absorber layers with a high absorptivity k, with at least 40-nm thickness. It is noteworthy that the diffraction phase delta is also very low for absorbers with n1. However, in this case, the ratio in diffraction-order amplitudes is very high which leads to poor image quality, as described in Fig. 1 and the ratio is ideally 1.10,11 For EUV lithography, the attenuated PSM is used to enable both better ratio in diffraction-order amplitudes and through absorber optimization mitigate M3D-induced phase effects over diffracted orders.

Fig. 7

Simulated and modeled data of the zeroth and first diffracted order phase delta for varying absorber materials. An ideal situation is achieved when there is zero difference between the diffracted orders (dark area in these images). The impact of the material refractive index n and k and thickness is investigated. The main difference observed between simulations and model is caused by the swing curves not captured in the model. Optimal imaging performance is found for PSM absorbers with low-n and optimized thickness, and for high-k materials with thickness at least 40 nm for k=0.05. Materials with n=1 show a very low phase delta; however, these materials suffer from a low image contrast due to the ratio in diffraction-order amplitudes.

JM3_19_2_024401_f007.png

5.

Impact of Mask Bias and Illumination Pupil

In this section, we study the monopole PS (PS per incident angle) that arises from the phase offset between the zeroth and first diffraction order and see how this property depends on the mask and illumination pupil. Figure 8(a) shows the dependence of the M3D-induced PS for a single incident angle versus mask bias and mask-absorber phase; data are shown for vertical lines with 26-nm pitch printed on a 0.33-NA scanner, illuminated with a monopole at telecentric incident angle. The monopole PS is a relevant metric for contrast fading.4 The mask absorber has a constant thickness of 35 nm and its phase is varied by varying the refractive index n (while k=0.02). The data show that the monopole PS strongly depends on the mask-absorber phase shift and shows optimum performance at a phase shift of 1.1 to 1.3π [the absorber phase shift is given by Eq. (7)]. Some dependence of the PS on mask bias is also observed, but this variation is around 1 nm at constant mask-absorber phase, whereas the impact of mask-absorber phase at constant mask bias is up to 4 nm. This means that first of all, the mask-absorber phase shift must be properly optimized (optimum mask-absorber refractive index and thickness). Subsequently, mask bias can be used to fine-tune and further mitigate the M3D-induced PS. Figure 8(b) shows the same trend for 28-nm pitch contact holes printed on a 0.55-NA scanner with monopole illumination at the telecentric incidence angle. Here the PS is given by

Eq. (8)

|PS|=PSx2+PSy2,
where PSx is the pattern shift in the x direction and PSy is the pattern shift in the y direction and is plotted versus mask bias and mask-absorber phase shift. Compared to the case in Fig. 8(a), the mask-absorber phase shift is even more crucial for mitigation of the M3D-induced PS per incident angle. The optimum is found around 1.1 to 1.2π. Again, the impact of mask bias is substantially smaller than that of the mask-absorber phase confirming that optimizing the mask absorber is crucial.

Fig. 8

PS for single incident angle versus mask bias and mask-absorber phase shift for (a) pitch 26-nm dense lines printed on a 0.33-NA scanner and (b) pitch 28-nm dense contact holes printed on a 0.55-NA scanner. Both cases use monopole illumination at the telecentric incidence angle.

JM3_19_2_024401_f008.png

Figure 9 shows the monopole PS versus mask bias and mask-absorber phase shift for pitch 26-nm vertical dense lines printed on a 0.33-NA scanner. Investigated absorbers have thicknesses: (a) 35 nm, (b) 45 nm, and (c) 60 nm; k=0.02. The data show that if the mask absorber is thicker, the optimum phase shift for M3D mitigation becomes larger. Since the phase shift in the absorber material is given by Eq. (7), this indicates that a material with a low refractive index (n) is required for good M3D mitigation. Refractive index n<0.91 is desired, which is substantially smaller than the refractive index of Ta (n=0.95). Figure 9(d) summarizes the optimum mask-absorber properties for M3D mitigation at the three different absorber thicknesses. The optimum M3D-mitigation point is the combination of mask-absorber material and thickness and mask bias where the M3D-induced PS is minimal. For all thicknesses, low refractive index (n) shows good performance. This indicates that low-n plays an important role in M3D mitigation. For overall performance, thicker absorbers with a phase shift significantly exceeding 1.2π are suboptimal for M3D mitigation.

Fig. 9

PS for single incident angle versus mask bias and mask-absorber phase shift for pitch 26-nm dense lines printed on a 0.33-NA scanner and using a mask absorber with thickness (a) 35 nm (b) 45 nm, and (c) 60 nm.

JM3_19_2_024401_f009.png

Thick masks which need phase shifts much larger than π for M3D mitigation satisfy criterion (2), but not criterion (1) and therefore perform suboptimal.

Figure 10 shows the impact of the mask-absorber material’s k on the optimum M3D-mitigation point, for pitch 26-nm dense lines printed on an NA=0.33 scanner. For k=0.02 [Fig. 10(a)], the monopole PS strongly depends on the mask absorber thickness, varying from 0 to >5  nm. For more-absorbing materials [Fig. 10(b), k=0.05] this dependence is much weaker. Also, the strong minimum in M3D-induced PS is not found here. The absence of a pronounced minimum phase offset at low refractive index observed for high-k materials is also observed in Fig. 10(b) (right, k=0.05), in contrast to the behavior observed at k=0.02 [Fig. 10(a), left].

Fig. 10

PS (for single incident angle) versus mask bias and mask-absorber phase shift for pitch 26-nm dense lines printed on a 0.33-NA scanner and using a mask absorber with thickness 35 nm for (a) k=0.02 and (b) k=0.05.

JM3_19_2_024401_f010.png

In the case of k=0.05, the minimum phase region (blue region) is observed at a very large mask bias around 6 nm, which is 46% of the half pitch (HP).

The impact of mask bias and absorber thickness can be summarized as follows.

  • 1. Absorber optimization is crucial for low M3D-induced PS; mask bias can be used to tweak this somewhat for a given absorber.

  • 2. A low-n (n<0.91) mask-absorber material is optimal for M3D mitigation.

  • 3. Attenuated PSMs with low-k (0.02) lead to better M3D mitigation than highly absorbing PSMs (k=0.05). Both high-k and attenuated PSMs lead to M3D mitigation with respect to a standard Ta-based absorber.

Impact of mask absorber on source mask optimization (SMO) and optical proximity correction (OPC) flow.

Figure 11 shows the potential impact of the mask absorber type on SMO for HP13 lines printed on a 0.55-NA scanner. It shows how the NILS and PSs vary with the incidence angle in the illumination pupil. The NILS for the 60-nm-Ta-based mask absorber (top row) is quite constant through mask bias and over the different angles in the 2 poles. For the 35-nm-Ru-based attenuated PSM (second row), a stronger dependence of NILS on incidence angle is observed which makes good SMO indispensable for optimum performance. Additionally, the mask bias impacts the NILS maps for the Ru-based attenuated PSM. The M3D-induced PS (bottom two rows) for both mask types depends on the mask bias. For the Ta-based mask, there is no mask bias that results in a close-to-zero PS for all angles. For all mask bias values, the average monopole PS stays close to 2.5 nm. However, for the Ru-based attenuated PSM at 0-nm mask bias, the monopole PS becomes close to zero, which results in a strong performance gain (contrast fading for dense vertical lines with a Ta-based mask leads to an NILS drop of about 0.5 to 0.6). For the attenuated PSM, the optimum mask bias for NILS seems around 0 nm and has high NILS areas available in the source pupil and at the same time results in a low PS. This figure does not show the impact of mask bias on dose. A more open mask is more favorable for dose, since fewer photons are lost in the mask.

Fig. 11

Pupil maps showing monopole NILS (top 2 rows) and monopole PS (bottom 2 rows) for a Ta-based mask (rows 1 and 3), and Ru-based attenuated PSM (rows 2 and 4), for mask biases of 2, 0, and 2 nm (left to right) for HP 13-nm V-lines printed on a 0.55-NA scanner.

JM3_19_2_024401_f011.png

This significant dependence of M3D-induced phase (and thus image shift) on mask bias should also be taken into consideration in the OPC flow. It is noteworthy that even though we mentioned that the mask-absorber phase has a stronger impact on the M3D effects than the mask bias, the mask bias still has a substantial impact. One intuitive way would be to start anchoring the most dense pitch starting from equal lines and spaces and biasing all other pitches to print to the same CD target. From a M3D perspective, an alternative would be to first bias the feature with the most dense pitch to get optimum contrast (and minimum phase effect) and then bias the other pitches to print to the desired CD target. It is noteworthy that the impact of mask bias and incident angle is expected to be smaller for more-absorbing materials.

6.

Comparison of High-k, Tantalum, and Phase-Shift Masks

Several materials show potential to be used for EUV attenuated PSM. The low refractive index requirement makes Ru and palladium (Pd) suitable candidates for high transmissive (up to 30%) and low transmissive (6%) attenuated PSM absorbers, respectively.9 Figures 12 and 13 show the imaging performance of the PSMs in comparison to two binary masks, the current standard 60-nm Ta, and a 40-nm high-k absorber with k=0.05 and n=0.95 (refractive index n is the same for Ta). Figure 12 shows the M3D-induced PS for the following features with optimized mask biases for a 0.33-NA scanner, the biases applied to the HP is provided in Table 1.

Fig. 12

(a) Diffraction spectra are analyzed for the indicated patterns and illumination poles. Main metric of interest is the monopole PS, which is linked to diffraction-order amplitudes and phases. (b) PS is calculated for different absorber, Ta 60 nm, high-k 40 nm with k=0.05, Ru 35 nm, and Pd 33 nm. For M3D-induced PS, the generic advantage of high-k absorber over Ta is seen, with PSM a strong advantage is seen for V-lines and contacts and a reduced performance for H-lines.

JM3_19_2_024401_f012.png

Fig. 13

(a) Illumination pupils used in combination with the indicated features, dense lines pitch32 H and V, and contacts pitch40 for a 0.33-NA scanner. (b) NILS through focus performance of the different absorber stacks. The PSMs show high peak-NILS and low best focus shifts for contacts and vertical lines.

JM3_19_2_024401_f013.png

Table 1

For all features used in Figs. 12 and 13, the mask bias is optimized for each absorber. The bias applied to the HP of the lines and contacts is provided for all four mask types.

Ta 60 nm (%)High-k 40 nm (%)Ru 35 nm (%)Pd 35 nm (%)
V-lines Pitch32−5+5−25−20
H-lines Pitch32+5+25−100
Contacts Pitch4000+20+10

We find that the PSM absorbers, specifically the high transmissive Ru, significantly reduce M3D-induced PS for monopole illumination. The results show that the high-k and attenuated PSM masks reduce the monopole PS and as a result also reduce best focus shifts.

Mask-induced PS as described in Fig. 12 results in contrast fading and best focus shifts depending on illumination setting.7,9 The reported PS can be reproduced for varying pitches4 and it is known that this will result in focus shifts for nonisofocal illumination.9 The resulting focus shifts through pitch reduce imaging performance for multipitch cases, this may be improved using advanced masks. Figure 13 shows the NILS through focus for the dense lines and contacts with the same four absorbers. As illumination, a 20% filled pupil is used using the low sigma points that still allow two-beam and four-beam imaging, respectively, this enables the highest peak NILS with a trade-off to best focus shift and increased NILS loss through focus. It is seen that the PSM absorbers show the best peak NILS and lowest focus shifts for most features. It is found that the PSM absorbers show the largest gain for contacts features. The use of PSM absorbers requires a carefully tuned mask bias, especially for the line features. Additionally, for horizontal lines, the PSMs have an additional challenge due to the increased sensitivity to the large range in incidence angles, which shows some best focus shifts and may limit performance with extreme dipole illumination. For all features, the thick Ta absorber shows the largest best focus shifts, which may limit performance for multipitch cases.

7.

Conclusion

We describe the physics of M3D reduction by alternative absorbers for low-k1 EUV imaging. A good EUV imaging mask for low-k1 applications satisfies two criteria:

1 It balances the diffraction-order amplitudes according to principles discussed in Sec. 1.

2. It mitigates the M3D-induced phase difference between the zeroth and subsequent diffraction orders.

We present a semianalytical model to calculate the diffraction properties of the mask. The model enables the calculation of the M3D-induced phase offset throughout the entire n, k, and thickness space of alternative absorber materials. The modeled M3D-induced phase offset is in good agreement with results from 3D rigorous simulations. The model confirms that a phase shift around 1.2π is optimal for M3D mitigation. It provides insights on why this phase shift is the optimum. Here, the different M3D contributions of the double diffraction, absorber edge, and multilayer propagation result in a phase offset of the zeroth diffracted order that is best compensated with the 1.2π phase-shift absorber. We demonstrate that mask-absorber optimization is crucial for good performance, and mask bias can be used to fine-tune. Not just absorber thickness, but rather refractive index n, is crucial for M3D mitigation. We find that n<0.91 is needed for M3D mitigation. A thick mask absorber, for instance 60 nm, will be suboptimal, since the low-n requirement forces the optimum phase shift to be 1.4π, which breaks the intensity balance between the diffraction orders. Low-k absorber materials allow for a more efficient compensation of M3D effects.

We find that attenuated PSMs enhance the importance of proper SMO due to the increased dependence of performance on mask bias and incident angle.

Finally, we show the impact of alternative mask absorbers on lithography, where we show a substantial improvement in M3D-induced phase offset with high-k masks and an even larger reduction with attenuated PSMs with an absorber phase shift around 1.2π. It is noteworthy that this absorber optimization is based on dense features. In previous work, from simulations we also found an optimum phase shift of around 1.2π, for different dense feature use cases.4 We showed that optimizing on dense features, which are most critical contrast-wise, still leads to good imaging performance for isolated features.4 A performance study of alternative absorbers with more complicated use cases including full SMO is beyond the scope of this paper.

This kind of analysis can be very instrumental in guiding the industry toward the next phase of mask optimization for EUV lithography.

  • Current masks (Ta at thickness 55 to 60 nm) have a fraction of the light transmitted through the mask and therefore pick up a phase difference between the clear and absorber areas. As such we start already from a PSM concept using the nomenclature from the past.

  • All masks show an alteration of the M3D-induced phase and intensity in the diffracted orders.

  • Masks are best optimized by studying the detrimental imaging effects (e.g., M3D-induced fading and best-focus shifts) at diffraction level and optimize the mask properties (n, k, and thickness) to counteract this.

  • The resulting phase of the attenuated phase-shift absorber stack cannot be optimized independently of the reflectivity.

  • The final outcome could be a small discrete number of (n, k, and thickness) values or could even converge to one universal EUV diffraction mask.

8.

Appendix

In Sec. 3, we describe that the double diffraction model uses a fit of two coefficients to describe simulated data. Equation (1) (repeated here) contains the parameters ta and E, both are complex values that are being obtained by a fit to the simulated diffraction spectrum. The equation describes single diffraction on a patterned absorber.

Am,thin+edge=(1+ta)cdpitchsinc(αmcdλ)+δm,0+2Epitchcos(παmcdλ).

Figure 14 shows the simulated single diffraction spectrum for pitch 250 nm (1×) dense lines, equal lines, and spaces, with a 60-nm-thick Ta absorber. The figure shows a clear distinction between even and odd diffraction orders both in the amplitude and the phase spectrum. Additionally, the figure shows the modeled spectrum in green. The model is calculated using Eqs. (1) and (4) (repeated below), where Eq. (4) provides the parabolic phase profile due to light propagation through the thick absorber.

Aincomingm,thick=eiπλd1αm2·Am,thin+edge·eiπλd1αi2.

Fig. 14

Simulated and modeled diffraction spectra for (a) amplitude and (b) phase. The spectra correspond to single diffraction on a 60-nm Ta absorber of pitch 250 nm (1×).

JM3_19_2_024401_f014.png

The coefficients ta and E are fitted such that there is a minimal difference between the simulated and modeled spectra for amplitude and phase (see Fig. 15). In the phase spectra, the coefficients have an impact mainly on the zeroth-order phase delta, and on the phase delta between the even and odd orders. The parabolic phase profile is calculated using Eq. (4). Additionally, the coefficients determine the amplitude spectrum. The case of a perfectly flat 2D absorber the even orders (excluding the zeroth order) would have a zero amplitude, for this case of equal lines and spaces. It can be seen that the even-order amplitudes are nonzero due to the absorber edge contributions. While the parabolic profile due to propagation through the thick absorber is present for both even and odd orders, the even orders are offset by the phase of the absorber edge term E which is for them the dominating term. Overall a good fit for the model and simulations is found. Deviations to this fit occur only at higher diffracted orders which do not contribute to imaging as they have low amplitudes and are not captured by the lens NA. The fit is being performed with a sufficiently large number of orders that cover three times the range that are captures by the lens NA.

Fig. 15

Flowchart showing how the model parameters for absorber edge (E) and transmission coefficient (ta) contribution are calculated. Both parameters are material properties influenced by the refractive index and absorber thickness.

JM3_19_2_024401_f015.png

Acknowledgments

The authors would like to thank Laurens de Winter for technical discussions. The authors declare no conflicts of interest.

References

1. 

P. D. Bisschop, “Stochastic printing failures in extreme ultraviolet litgography,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041011 (2018). https://doi.org/10.1117/1.JMM.17.4.041011 Google Scholar

2. 

J. Finder et al., “Contrast optimization for 0.33 NA EUV lithography,” Proc. SPIE, 9776 97761P (2016). https://doi.org/10.1117/12.2220036 PSISDG 0277-786X Google Scholar

3. 

J. Finders, L. D. Winter and T. Last, “Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 15 (2), 021408 (2016). https://doi.org/10.1117/1.JMM.15.2.021408 Google Scholar

4. 

M. V. Lare, F. Timmermans and J. Finders, “Alternative reticles for low-k1 EUV imaging,” Proc. SPIE, 11147 111470D (2019). https://doi.org/10.1117/12.2536415 PSISDG 0277-786X Google Scholar

5. 

A. Erdmann et al., “Attenuated PSM for EUV: can they mitigate 3D mask effects?,” Proc. SPIE, 10583 1058312 (2018). https://doi.org/10.1117/12.2299648 PSISDG 0277-786X Google Scholar

6. 

M. C. Lam and A. R. Neurether, “Simplified model for absorber features transmission on EUV masks,” Proc. SPIE, 6349 63492H (2006). https://doi.org/10.1117/12.686209 PSISDG 0277-786X Google Scholar

7. 

Y. Cao et al., “Impact of mask absorber thickness on the focus shift effect in extreme ultraviolet lithography,” J. Vac. Sci. Technol. B, 30 (3), 031602 (2012). https://doi.org/10.1116/1.3697718 JVTBD9 1071-1023 Google Scholar

8. 

A. Erdmann et al., “3D mask effects in high NA EUV imaging,” Proc. SPIE, 10957 109570Z (2019). https://doi.org/10.1117/12.2515678 PSISDG 0277-786X Google Scholar

9. 

M. Burkhardt et al., “Investigation of mask absorber induced image shift in EUV lithography,” Proc. SPIE, 10957 1095710 (2019). https://doi.org/10.1117/12.2515365 PSISDG 0277-786X Google Scholar

10. 

A. Erdmann et al., “Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,” Adv. Opt. Technol., 6 (3–4), 187 –201 (2017). https://doi.org/10.1515/aot-2017-0019 1687-6393 Google Scholar

11. 

M. Burkhardt, “Investigation of alternate mask absorbers in EUV lithography,” Proc. SPIE, 10143 1014312 (2017). https://doi.org/10.1117/12.2258266 PSISDG 0277-786X Google Scholar

Biography

Claire van Lare studied nanomaterials, chemistry, and physics at Utrecht University and received her PhD in physics (nanophotonics) from the University of Amsterdam in 2014. She joined ASML and worked on modeling of stochastics, imaging, and advanced reticles. She is currently working as a System Engineer for EUV Imaging.

Frank Timmermans studied physics, optics, and biophysics at the University of Twente. He received his PhD in physics and advanced microscopy from the University of Twente in 2017. He joined ASML and worked on advanced reticles and imaging for EUV lithography. He is currently working as a reticle architect.

Jo Finders received his MS and PhD degrees in physics from Aachen University of Technology, Germany. He joined IMEC in 1994. As a member of the micropatterning group, he was active in various fields of optical lithography, such as resolution enhancement techniques, CD control, and wafer CD metrology. In 1997, he joined ASML. Currently, he is the group lead within Systems Engineering responsible for the EUV imaging technology roadmap. In June 2006, he was elected as an ASML fellow and in 2019 as a SPIE fellow.

© 2020 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2020/$28.00 © 2020 SPIE
Claire van Lare, Frank Timmermans, and Jo Finders "Mask-absorber optimization: the next phase," Journal of Micro/Nanolithography, MEMS, and MOEMS 19(2), 024401 (6 May 2020). https://doi.org/10.1117/1.JMM.19.2.024401
Received: 24 January 2020; Accepted: 20 April 2020; Published: 6 May 2020
Lens.org Logo
CITATIONS
Cited by 18 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Diffraction

Photomasks

Phase shifts

Picosecond phenomena

3D modeling

Extreme ultraviolet

Refractive index

RELATED CONTENT


Back to Top