1 July 2006 Evaluation of 193-nm immersion resist without topcoat
Yayi Wei, Nickolay Stepanenko, Antje Laessig, Lars Voelkel, Michael Sebald
Author Affiliations +
Abstract
A production-preferred solution is 193-nm immersion resist without a topcoat. The challenge of 193-nm immersion resist is both low leaching level and high performance. We summarize the screening results of selected 193-nm immersion resists that are designed for use without top coatings. Our evaluation is divided into several phases. Leaching levels of resist samples are first tested. The leaching data are analyzed and compared to our specifications. Both binary intensity mask and alternating phase-shift mask exposures are performed to evaluate the process window, lineedge roughness, and resist pattern profile. Resist films are rinsed by deionized (DI) water prior to or after exposure, and contrast curves are measured to investigate the resist sensitivity change. The results are compared with resist systems that use developer-soluble topcoats.
©(2006) Society of Photo-Optical Instrumentation Engineers (SPIE)
Yayi Wei, Nickolay Stepanenko, Antje Laessig, Lars Voelkel, and Michael Sebald "Evaluation of 193-nm immersion resist without topcoat," Journal of Micro/Nanolithography, MEMS, and MOEMS 5(3), 033002 (1 July 2006). https://doi.org/10.1117/1.2358128
Published: 1 July 2006
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Photoresist processing

Contamination

Photomasks

Line edge roughness

Lithography

Scanners

Back to Top