1 January 2008 Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control
Author Affiliations +
Optical proximity correction (OPC)is a mandatory resolution enhancement technique (RET) to ensure the printability of layout features in silicon. The most prominent OPC method, model-based OPC, alters the layout data for the photomask that enables drawn layout features to be accurately reproduced by lithography and etch processes onto the wafer. This technique in various forms has now become standard in integrated circuit (IC) manufacturing at 0.18 μm and below. However, model-based OPC is computationally expensive and its runtime increases with technology scaling. The cell-based OPC approach improves runtime by performing OPC once per cell definition, as opposed to once per cell instantiation in the layout. However, cell-based OPC does not comprehend intercell optical interactions that affect feature printability in a layout context. This leads to printability, and consequently, performance and leakage, degradation. In this work, we propose auxiliary pattern-enabled cell-based OPC to improve printability of cellbased OPC, while retaining its runtime advantage. Auxiliary patterns (AP) are nonfunctional poly features that are added around a standard cell to "shield" it from optical proximity effects. We present the AP- ased OPC approach and demonstrate its advantages over cell-based and model-based OPC in terms of printability as well as timing and eakage variabilities. AP-based OPC improves the edge placement error over cell-based OPC by 68%. To enable effective insertion of AP in cell instances at a full-chip layout level, we propose a dynamic programming (DP)-based method for perturbation of detailed placement. Our approach modifies the detailed placement to allow opportunistic insertion of AP around cell instances in the design layout. By perturbing placement, we achieve 100% AP applicability in designs with placement utilization less than 70%. AP-based OPC also reduces leakage and timing variability compared to conventional cell-based OPC. We further demonstrate that AP insertion achieves timing and leakage variability comparable to that of model-based OPC.
©(2008) Society of Photo-Optical Instrumentation Engineers (SPIE)
Andrew B. Kahng, Swamy V. Muddu, and Chul-Hong Park "Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control," Journal of Micro/Nanolithography, MEMS, and MOEMS 7(1), 013002 (1 January 2008). https://doi.org/10.1117/1.2898504
Published: 1 January 2008
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications and 4 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical proximity correction

Critical dimension metrology

SRAF

Lithography

Model-based design

Semiconducting wafers

Resolution enhancement technologies

RELATED CONTENT


Back to Top