Open Access
15 May 2015 Evaluating printability of buried native extreme ultraviolet mask phase defects through a modeling and simulation approach
Author Affiliations +
Abstract
Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native extreme ultraviolet (EUV) mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability they cause. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a multilayer growth model based on a level-set technique was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. Further, the printability of the characterized native defects was studied at the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory. Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model, was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape.

1.

Introduction

Extreme ultraviolet lithography (EUVL) is being developed as a promising candidate for high-volume semiconductor manufacturing for 16-nm half-pitch patterning and beyond.13 EUVL makes use of masks that are reflective in nature and that consist of a low thermal expansion material, typically quartz, coated with a Mo/Si multilayer and a patterned absorber layer. In general, the extreme ultraviolet (EUV) reflectivity of any material at normal incidence is usually very low (<1%). Therefore, in an EUV mask, we have to deposit multiple (40) alternating layers of materials having a high optical contrast in such a way that the reflected radiation from the interfaces of these layers adds in phase, i.e., constructive interference, which increases the overall EUV reflectivity to 70%.

In addition to EUV source and resist issues, preparation of defect-free masks is one of the top critical concerns for the launch of EUVL into high-volume manufacturing.2,3 Buried defects—namely pits, bumps, and particles—in EUV masks are one of the main hurdles in the development of EUVL. These defects can either be on the mask substrate or can get embedded within the multilayer during the multilayer deposition process.46

It is essential to have a good understanding of the growth behavior of Mo/Si bilayer structure on top of a defect in an EUV mask. The study of multilayer growth on defects helps to understand the total phase change and the effect of curvature change for a given defect profile under given deposition conditions. The net phase change adds to the intrinsic effect of the core defect and its influence on the growth of the multilayer stack during deposition. Therefore, identifying this influence is critical and would help in determining strategies to mitigate the printability of such defects by employing various techniques like defect smoothing,7 multilayer defect compensation technique,8 or using an additional buffer layer,9 to name a few.

In this study, we wanted to develop a reliable method to estimate the printability of the native EUV mask substrate defects, given the atomic force microscopy (AFM) profile on the multilayer top. There have been studies that have looked at multilayer growth over programmed substrate defects using nonlinear continuum growth models1013 and have attempted to predict the printability of native defects. However, a systematic study correlating the multilayer growth over native defects versus programmed defects and showing the comparison between their printabilities has been lacking. Here, we have developed an approximate but relatively accurate method for investigating defect printability of arbitrarily-shaped native defects, both bump-type and pit-type, given their AFM profiles on top of the multilayer stack.

The most commonly used model, namely the nonlinear continuum model or the Stearns model14 that is used to simulate the multilayer growth over a defect, assumes the deposition and etch fluxes to be at near normal incidence to the mask surface (thereby ignoring the shadowing effects due to the defect), which is not the case in modern coating-deposition systems. The model used here is based on the level-set technique15 and incorporates the deposition conditions, including the angular flux of atoms incident on the substrate, the chamber geometry, and deposition factors, such as substrate and target angles, distances between source, target, and substrate and the rotational speed of the substrate. Here, we will briefly discuss the workings of the level-set multilayer growth model, as developed for our tool, to simulate Mo/Si bilayer growth over the native defects. The details of the growth model have been discussed elsewhere.16,17 In our previous work,18,19 using level-set modeled multilayer growth over native defect shapes, we showed good comparison between through-focus aerial image intensities as obtained at Actinic Inspection Tool (AIT)20 and those obtained through defect printability simulations.

The aim of our work here was to develop a systematic technique/methodology for the study of arbitrarily-shaped native mask defects, using just the modeling (of multilayer growth) and simulation (of defect printability) approach, thereby helping to reduce dependence on actinic review techniques for mask blank inspection.

2.

Characterization of Native Defects on EUV Mask Blanks

For our study, two native mask blank defects (one bump and one pit) were characterized. The process flow for the defect characterization was as follows: once the multilayer deposition process on the mask substrate was complete, the mask blank was analyzed for defects using a Lasertec M7360 inspection tool, which uses light scattering as a means to detect defects present on the substrate surface. The defect locations were marked with the help of fiducials to easily locate the defects for AFM, transmission electron microscopy (TEM), and AIT printability studies. Fiducials are alignment marks (typically crosses) on the mask21 for accurately locating features on the mask. AFM was performed at the defect locations to observe the defect profile on top of the mask blanks. The masks were then sent to Lawrence Berkeley National Laboratory to undergo inspection at the AIT where the aerial images of the defect sites were obtained. TEM cross-section studies were then performed to observe the multilayer deformations created by the defects. The defect profile at the substrate, obtained from the cross-section TEM, was used as one of the inputs into the multilayer growth model.

3.

Multilayer Growth Model

The multilayer growth model we developed16,17 looks at the deposition conditions of the Veeco Instruments’ Nexus low defect density tool located in the SEMATECH cleanroom facility in Albany, New York. The tool consists of an ion source, Si, Mo, and Ru targets, and an electrostatic chuck to hold the mask substrate. The schematic of the tool is shown in Fig. 1. Argon ions extracted from the ion source strike the target, liberating the atoms to be deposited. The sputtered atoms travel to the substrate where they get deposited, creating the multilayer reflector. The mask substrate is electrostatically chucked to the mask fixture, which precisely positions the substrate relative to the target and spins the substrate around its normal direction.

Fig. 1

Top-down schematic of the ion beam deposition (IBD) tool.

JM3_14_2_023505_f001.png

Kinetic Monte Carlo simulation methods were used to calculate the angular distribution and energy of the sputtered atoms from the target under exposure of an argon ion beam of 300 mA at 600 eV. The sputtered atoms from the target were further diffused and scattered inside the chamber to calculate the atomic flux of the atoms reaching the substrate position. The kinetic Monte Carlo method takes into account the probability of striking an ambient gas atom along the atom’s trajectory and predicts the energy and direction of the atom after the collision. The scattering gas in the initial simulations was assumed to have a Boltzmann’s velocity distribution at 50°C and to be comprised of argon atoms at 0.14 mTorr, which is the typical pressure inside the Veeco chamber during deposition. Modeling the deposition rate throughout the chamber requires estimates of several parameters, such as the number of atoms ejected from the target at each location on the target, which was estimated using measured target erosion profiles; the angular distribution of atoms reaching the substrate, which was estimated by measuring the deposition rate on substrates mounted on a hemispherical surface around the center of the target; the gas scattering behavior between the target and substrate, which was estimated using a kinetic Monte Carlo method and scattering cross-sections. The simulation results were validated by measuring deposition thicknesses from quartz crystal microbalances and wafer coupons placed at different places near the substrate position. The details of the experimental and modeling results are reported elsewhere.17,22 Level-set method was later used to determine the multilayer growth on the defect interface with an input of sputtered atom flux (of the target materials) reaching the substrate, rotational speed of substrate, and other deposition parameters such as substrate and target angles. The substrate rotation that is commonly used to improve uniformity in the Veeco Nexus tools was modeled to take into account the shadowing effects at defect location. The incoming flux of atoms at the substrate surface is directional. The normal flux of atoms reaching any point of the surface will not change with time. However, the horizontal flux of atoms at any point will change based on rotational speed and local surface curvature. Further, the height and curvature of the defect interface at any given time will provide the shadowing effects which can be calculated for every time integral during the evolution of the surface.

One of the ways to study the evolution of surfaces is by numerically simulating the growth of dynamic implicit surfaces and reproducing multilayer growth on defects. Existing simulation theories can be used such as the fast marching method,23 front tracking method,24 and level-set method. The level-set method is a powerful technique based on an implicit description of evolving surfaces and hence, it can account for any topological changes in any number of dimensions. The level-set method was implemented by level-set initialization (interface definition of defect profile on substrate) and development of the level-set (growth of multilayer structure on defect profile) by numerical integration, and level-set visualization. The surface of interest is the contour for which the function φ(r,t) is zero. This is called the zero level of the level-set function and describes the surface implicitly. Since the surface is always defined as the same contour of φ(r,t), it follows that any level-set function φ(r,t) obeys the Hamilton–Jacobi evolution equation as given in Eq. (1):

Eq. (1)

dφ(r,t)dt+V·φ+a|φ|=bκ|φ|,
where φ(r,t) is the definition of the interface given by the initial pit or particle geometry on the substrate, V is the external velocity vector represented by deposition fluxes reaching the substrate,φ, defined as [(dφ/dx),(dφ/dy),(dφ/dz)] , is the gradient of the interface in three dimensions, V·φ is deposition by the vector of a direct line of sight, a|φ| is deposition due to the flux of atoms reaching the surface by scattering, κ, defined as ·[(φ/|φ|)], is the Laplacian of the interface defining the curvature, and bκ|φ| represents the evolution of the interface due to the curvature-driven force in the system. a and b are phenomenological constants that depend on the deposition tool and conditions, which were determined by experiment for the specific operating conditions of this deposition tool. This level-set method is appropriate for other tools at other locations, however, the phenomenological constants would first need to be determined by experiments.

The initialization for the level-set method includes initializing a function φ(r,t) and setting up boundary conditions. The initialization of the level-set in our case will depend upon the shape of the defect profile on the substrate. Hence, the function was initialized in such a way that the zero level-set represents the shape of the defect. The defect profile was defined using the cross-section TEM images through the defect. The outline of the defect shape, as observed in the TEM images, was traced and the function defining the traced shape was input into the growth model. Further, interface evolution was studied with the discretized level-set data obtained for each time step. The derivative of φ can be approximated by multiple schemes such as first order essentially nonoscillatory (ENO), second or higher order ENO, or weighted ENO. The combination of forward Euler time discretization with the upwinding difference scheme provided a consistent finite difference approximation to the partial derivatives.

4.

Systematic Study of the Printability of Native EUV Mask Defect

4.1.

Correlating Multilayer Growth over Native Defects to That Over Regular-Shaped Defects

First, we wanted to establish a clear correlation between the defect profiles at the substrate and the resulting defect profiles at the top of the multilayer, for the given deposition conditions of our ion beam deposition tool, the Veeco Nexus. In a study conducted at SEMATECH by Jang et al.,25 AFM measurements of native defects were performed at the substrate (prior to multilayer deposition) as well as at the multilayer top, and a graph showing the substrate defect width versus the multilayer top defect width was obtained. Fifteen bump and fifteen pit defects were characterized for this study. The native defects chosen for this study all had shallow heights (or depths), approximately equal to 3 nm. The reason why the shallow defects were characterized for this study was so that the height or depth of the defect does not have a significant impact on the propagation of the defect width up the multilayer stack. For our simulation study, we used Gaussian-shaped substrate defects having various values of full-width at half maximum (FWHM) and a height or depth of 3 nm, to be similar to the native defects used in the aforementioned study. We simulated multilayer growth over these defects using the level-set multilayer growth model and obtained the defect profiles on the multilayer top. We then plotted the multilayer top defect FWHM as a function of the substrate defect FWHM and compared this to results obtained by Jang et al.25 The comparison is shown in Fig. 2. A good comparison between the simulation (performed using Gaussian defect profiles) and experimental (obtained for native defect profiles) results was observed, thus showing that multilayer growth over a defect shape is primarily a function of its FWHM and height (or depth).

Fig. 2

Defect full-width at half maximum (FWHM) on multilayer top as a function of substrate defect FWHM for (a) bump defect and (b) pit defect. Solid-line curve in the plot is as obtained by Jang et al.25

JM3_14_2_023505_f002.png

Since the experimental study had been performed using the Veeco Nexus IBD tool and our growth model was developed for that specific tool, we could draw a fair comparison between the experimental and simulation results. The above result led us to hypothesize that irrespective of the arbitrary shape of the native defect, the first few bilayers deposited tend to smooth out any irregularities in the defect profile, and as a result, the critical top bilayers also end up having a relatively smooth regular profile.

Next, proceeding with the assumption that multilayer growth over an arbitrarily-shaped defect is similar to that over a Gaussian-shaped defect having similar width and height at the substrate, we sought to map the defect profile at the top surface as a function of the substrate defect profile for our deposition tool. For this, we simulated multilayer growth over Gaussian-shaped bump and pit defects using our level-set growth model. Multilayer growth over 25 Gaussian bump-type and 25 Gaussian pit-type defects was simulated to obtain the plots as shown in Fig. 3. Figure 3(a) shows the top-surface FWHM as a function of the substrate defect FWHM and height for a Gaussian bump defect and Fig. 3(b) shows the top defect height as a function of the substrate defect FWHM and height for a Gaussian bump defect. Figure 3(c) shows the overlay of the two maps as shown in Figs. 3(a) and 3(b) in the form of a contour plot. Given the top FWHM and height of a bump defect (as determined by AFM scan), the contour plot can be used to determine the Gaussian-equivalent-FWHM-and-height (GEFH) of the defect at the substrate. Figures 3(c), 3(d), and 3(e) show the same information as Figs. 3(a), 3(b), and 3(c), respectively, for a Gaussian pit defect.

Fig. 3

(a) Map of top defect FWHM and (b) map of top defect height as a function of bottom (substrate) FWHM and height of defect for Gaussian bump defect. (c) Contour plots showing top FWHM (labeled in red) and top height as a function of substrate FWHM and height of defect for Gaussian bump defect. (d) Map of top defect FWHM and (e) map of top defect depth as a function of bottom (substrate) FWHM and depth of defect for Gaussian pit defect. (f) Contour plots showing top FWHM (labeled in red) and top depth as a function of substrate FWHM and depth of defect for Gaussian pit defect.

JM3_14_2_023505_f003.png

Thus, given an AFM scan of a native defect at the top multilayer surface, we can now infer the buried substrate defect profile in terms of GEFH using the contour maps shown in Fig. 3. Here, we must note that the maps (and the corresponding contour plots) shown in Fig. 3 yield a unique solution to the bottom Gaussian-equivalent defect profile for a given top defect profile in most of the given parameter space. Starting with a Gaussian defect at the substrate (having FWHM and height as determined by GEFH obtained from the contour plots), we can simulate the multilayer growth over that defect using the level-set multilayer growth model, which would, in turn, be imported into defect printability simulation software to obtain a printability result in terms of the aerial image intensity. The underlying assumption for this approach is that the defect is present at the mask substrate and not within the multilayer. This is a reasonable assumption since studies have shown that most of the buried defects are present at the mask substrate itself.26,27

4.2.

Comparing Printability Performance of Native, Gaussian, and Regular-Shaped Substrate Defects

Next, we investigated the impact of FWHM and height of the defect on the defect printability (in terms of the aerial image intensity). For this, we identified two native, substrate EUV mask defects and compared their measured aerial image intensities (from the AIT) with simulated aerial image intensities.

Printability simulations were performed using a waveguide algorithm.28 The optical and imaging parameters used for the simulations were chosen to match the parameters used for the AIT imaging, which were 13.5-nm wavelength radiation incident on the mask at an angle of 6 deg, disk-fill illumination with a σ value of 0.2, and a mask-side numerical aperture of 0.0875 (0.35, 4× wafer-side).

Multilayer growth simulations were performed on three substrate defect profiles corresponding to each of the characterized native mask defects. First, multilayer growth was simulated over the native defect shapes obtained from cross-sectional TEM images as seen in Figs. 4 and 5. Defect-shape outlines observed in cross-sectional TEM images were used as the input substrate defect shapes for the level-set growth model. Second, Gaussian substrate defect shapes were used as input into the multilayer growth model. We determined the FWHM and height of the characterized native defects at the multilayer top-surface using the AFM scans. The maps shown in Fig. 3 were used to determine the GEFH of the defects at the substrate, which were then used as inputs into the multilayer growth model. Third, to demonstrate (as per our hypothesis in the previous section) that a truly arbitrarily-shaped defect can be completely defined just in terms of its FWHM and height for the purpose of predicting its printability, we simulated multilayer growth over defects that were rectangular in shape. FWHM and height values used for Gaussian defects were used for rectangular defects as well, except that FWHM that was used to define Gaussian defects simply translated into the width of the rectangle-shaped defects.

Fig. 4

(a) Cross-section of native extreme ultraviolet (EUV) mask defect, simulated native mask defect, simulated Gaussian mask defect and simulated rectangular mask defect (left to right), (b) corresponding two-dimensional (2-D) aerial image intensity maps, and (c) aerial image intensity cross-section comparison between native defect and simulated defects. Defect printability simulations were performed using waveguide algorithm.

JM3_14_2_023505_f004.png

Fig. 5

(a) Cross-section of native EUV mask defect, simulated native mask defect, simulated Gaussian mask defect and simulated rectangular mask defect (left to right), (b) corresponding 2-D aerial image intensity maps, and (c) aerial image intensity cross-section comparison between native defect and simulated defects. Defect printability simulations were performed using waveguide algorithm.

JM3_14_2_023505_f005.png

Figure 4(c) shows the comparison of the AIT aerial image intensity cross-sections for the pit native defect with the simulated aerial image intensity cross-sections for the modeled native, Gaussian and rectangle-shaped defects. The modeled coating properties above the defects are shown in Fig. 4(a). We observe a good match between the AIT and simulated aerial image intensities (for the rotationally symmetric simulated defect growths), with the AIT-to-modeled native contrast difference being equal to 1%, AIT-to-modeled Gaussian contrast difference equal to 3%, and AIT-to-modeled rectangle contrast difference equal to 8%.

Figure 5(c) shows the comparison of the AIT aerial image intensity cross-sections for the bump native defect with the simulated aerial image intensity cross-sections for the modeled native, Gaussian and rectangle-shaped defects. The modeled coating properties above the defects are shown in Fig. 5(a). We observe a good match between the AIT and simulated aerial image intensities (for the rotationally symmetric simulated defect growths), with the AIT-to-modeled native contrast difference being negligible, the AIT-to-modeled Gaussian contrast difference equal to 1% and the AIT-to-modeled rectangle contrast difference equal to 6%.

The aerial image intensities shown in Figs. 4 and 5 are at best focus conditions, i.e., defocus equal to zero. Here, we would like to note that we performed simulation studies29 to look at the impact of the defect (Gaussian-shaped) volume on aerial image contrast and observed a wide range of contrast values for the same defect volume, thus further demonstrating that both the width and height information of the defect is needed to fully characterize it in terms of predicting its printability behavior, and volume information alone is not sufficient and can even be misleading.

Thus, we conclude that the critical top few layers of the multilayer are not very affected by the defect shape at the substrate with similar FWHM and heights and evolve in a similar manner up the multilayer stack, thus resulting in a similar printability performance. There have been studies that have correlated the clear field contrast of the aerial image intensity due to a defect as observed by AIT to the printability caused by that defect in dense line and space (L/S) features under different imaging conditions.30,31 Our aim through this study was to reduce the dependence on actinic review techniques for determining printability of defects.

4.3.

Proposed Mask Fabrication Process Flow and Caveats

Here, we propose a process flow to predict the aerial image contrast caused by native defects on EUV mask blanks, and consequently determine the likelihood of the defect printing on the wafer. Such an approach could save time and resources involved in the use of actinic review tool, as our approach relies on simulations and AFM measurements of defects found with deep ultraviolet inspection. We propose a mask fabrication process flow as shown in Fig. 6.

Fig. 6

Proposed automated process flow for mask blank inspection. Here, fiducials refer to alignment marks (crosses) on the mask to accurately locate defects on the EUV mask.

JM3_14_2_023505_f006.png

The motivation behind the above study (and the resulting proposed process flow) is that an actinic blank review tool must be able to fully quantify the printability of each defect. All relevant defects on a blank need to be detected regardless of what they are. Their locations should be recorded and the effect of these defects on printability must be quantified. Finally, based on the above information, a decision must be made whether to discard or repair the mask, including consideration of smart pattern placement to cover some defects.32

The process flow proposed in Fig. 6 comes with a caveat pertaining to the use of modeling and simulations for predicting defect printability. The three-dimensional (3-D) defects simulated in this work to show comparison to AIT were assumed to be rotationally symmetric, while we know that the native defects can have arbitrary shapes. The 3-D rotationally symmetric defects were assumed for both the level-set growth model as well as the defect printability simulations. Also, we have assumed for our studies that the defect is present at the mask substrate only. The above process flow would need to be adapted for defects embedded in the multilayer coating. However, we have stated that most of the buried phase defects occur at the substrate itself,26,27 thus allowing our model to be generally valid.

Finally, predicting the printability of a defect in the resist on the basis of simulated aerial image data can be challenging. There have been a number of studies that have looked at the printability of absorber pattern defects by fabricating programmed defects on test masks.3335 These studies showed that the resist printability results do not correlate well with those from the aerial image simulations (based on a threshold model to determine critical dimension change). These studies observed that resists can limit the printing of defects below a certain size because of their limited resolution. Therefore, simply performing the aerial image simulations is not sufficient to determine the true defect printability. Without a resist model, aerial images appear to overestimate defect printability, especially for smaller defects.35,36 Therefore, relying only on simulated aerial image intensities could lead to a conservative overestimate of the number of printable defects on a mask blank. The estimation of a certain threshold value of contrast of the aerial image intensity for a defect in a clear field as a criterion for defect printability has to somehow be convolved with the resist parameters for different resists to get a more realistic estimate of the defect printability criterion for the different resists. Furthermore, the important interactions of buried defects with adjacent overlaying absorber patterns cannot be overlooked. Such interactions are difficult to generalize in the context of complex patterns and ever-shrinking design rules.

5.

Conclusion

In this study, we compared the printability of native EUV mask substrate defects to that of similarly profiled regular-shaped defects. Using a multilayer growth model that took into account the tool deposition conditions where the multilayer coating took place, we simulated multilayer growth over regular-shaped substrate defects having similar profiles as those of native defects and found a good correlation between their widths at the substrate and on top of the multilayer. With the information of a native defect profile at the multilayer top (using AFM scan), the Gaussian-equivalent substrate defect profile was inferred with the help of top-to-substrate defect profile maps (Fig. 3), and using the level-set multilayer growth model, the multilayer evolution over the defect from the substrate up was obtained. We showed that native, Gaussian and rectangular substrate defect profiles having similar FWHM (or widths in the case of a rectangle) and heights yield similar aerial image intensities. A good comparison between simulated aerial image intensities for regular-shaped defects and AIT aerial image intensities for native mask defects was obtained for the regular-shaped and native defects having similar profiles. Therefore, we were able to show that a defect, irrespective of its shape, can be completely characterized in terms of its FWHM and height for the defect printability studies. We finally proposed a process flow that could reduce our dependence on actinic imaging for qualifying EUV mask blanks.

Acknowledgments

The authors would like to acknowledge the helpful ideas from Yudhishthir Kandel of SUNY College of Nanoscale Science and Engineering. The AIT was funded by SEMATECH, and work was performed by University of California Lawrence Berkeley National Laboratory under the auspices of the U.S. Department of Energy, Contract No. DE-AC02-05CH11231.

References

1. 

B. LaFontaine et al., “The use of EUV lithography to produce demonstration devices,” Proc. SPIE, 6921 69210P (2008). http://dx.doi.org/10.1117/12.772933 PSISDG 0277-786X Google Scholar

2. 

O. Wood et al., “EUV lithography at the 22 nm technology node,” Proc. SPIE, 7636 76361M (2010). http://dx.doi.org/10.1117/12.847049 PSISDG 0277-786X Google Scholar

3. 

S. Wurm, C.-U. Jeon and M. Lercel, “SEMATECH’s EUV program: a key enabler for EUVL introduction,” Proc. SPIE, 6517 651705 (2007). http://dx.doi.org/10.1117/12.717756 PSISDG 0277-786X Google Scholar

4. 

P. B. Mirkarimi and D. G. Stearns, “Investigating the growth of localized defects in thin films using gold nanospheres,” Appl. Phys. Lett., 77 2243 (2000). http://dx.doi.org/10.1063/1.1314291 APPLAB 0003-6951 Google Scholar

5. 

R. V. Randive et al., “Progress in the fabrication of low-defect density mask blanks for extreme ultraviolet lithography,” J. Microlith. Microfab. Microsyst., 5 023003 (2006). http://dx.doi.org/10.1117/1.2198853 1537-1646 Google Scholar

6. 

Y. Lin and J. Bokor, “Minimum critical defects in extreme-ultraviolet lithography masks,” J. Vac. Sci. Technol. B, 15 2467 (1997). http://dx.doi.org/10.1116/1.589668 JVTBD9 0734-211X Google Scholar

7. 

J. H-Jones et al., “Smoothing of substrate pits using ion beam deposition for EUV lithography,” Proc. SPIE, 8322 83221S (2012). http://dx.doi.org/10.1117/12.916390 PSISDG 0277-786X Google Scholar

8. 

T. Liang and E. Gullickson, “Multilayer defect compensation to enable quality masks for EUV production,” in Int. Symp. on EUV Lithography, (2008). Google Scholar

9. 

B. T. Lee et al., “Characteristics of the Ru buffer layer for EUVL mask patterning,” Proc. SPIE, 4343 746 –753 (2001). http://dx.doi.org/10.1117/12.436699 PSISDG 0277-786X Google Scholar

10. 

H. J. Kwon et al., “EUV mask multilayer defects and their printability under different multilayer deposition conditions,” Proc. SPIE, 8322 832209 (2012). http://dx.doi.org/10.1117/12.916374 PSISDG 0277-786X Google Scholar

11. 

H. S. Seo et al., “Effects of multilayer deposition on the EUV printability and DUV inspectability of substrate pit defects,” in Int. Symp. on EUV Lithography, (2009). Google Scholar

12. 

I. Y. Kang et al., “Printability and inspectability of programmed pit defects on the masks in EUV lithography,” Proc. SPIE, 7636 76361B (2010). http://dx.doi.org/10.1117/12.847956 PSISDG 0277-786X Google Scholar

13. 

T. Liang et al., “Growth and printability of multilayer phase defects on EUV mask blanks,” in International Symposium on EUV Lithography, (2007). Google Scholar

14. 

D. G. Stearns, P. B. Mirkarimi and E. Spiller, “Localized defects in multilayer coatings,” Thin Solid Films, 446 37 (2004). http://dx.doi.org/10.1016/S0040-6090(03)01285-9 THSFAP 0040-6090 Google Scholar

15. 

S. J. Osher and R. P. Fedkiw, Level Set Methods and Dynamic Implicit Surfaces, 153 Springer, New York (2003). Google Scholar

16. 

V. Jindal, “Development of III-nitride nanostructures by metal-organic chemical vapor deposition,” State University of New York at Albany, (2008). Google Scholar

17. 

V. Jindal et al., “Modeling the EUV multilayer deposition process on EUV blanks,” Proc. SPIE, 7969 79691A (2011). http://dx.doi.org/10.1117/12.879467 PSISDG 0277-786X Google Scholar

18. 

M. Upadhyaya et al., “Investigating printability of native defects on EUV mask blanks through simulations and experiments,” in Int. Symp. on EUV Lithography, (2014). Google Scholar

19. 

M. Upadhyaya et al., “Level-set multilayer growth model for predicting printability of buried native EUV mask defects,” J. Vac. Sci. Technol. B, 33 021602 (2015). http://dx.doi.org/10.1116/1.4913315 JVTBD9 0734-211X Google Scholar

20. 

K. A. Goldberg et al., “EUV pattern defect detection sensitivity based on aerial image linewidth measurements,” J. Vac. Sci. Technol. B, 27 (6), 2916 –2921 (2009). http://dx.doi.org/10.1116/1.3264676 JVTBD9 0734-211X Google Scholar

21. 

T. Murachi, T. Amano and S.H. Oh, “Fiducial mark requirements from the viewpoints of actinic blank inspection tool for phase defect mitigation on EUVL mask,” Proc. SPIE, 8522 85221U (2012). http://dx.doi.org/10.1117/12.976819 PSISDG 0277-786X Google Scholar

22. 

T. Cardinal et al., “Modeling the ion beam target interaction to reduce defects generated by ion beam deposition,” Proc. SPIE, 8322 83222Q (2012). http://dx.doi.org/10.1117/12.916878 PSISDG 0277-786X Google Scholar

23. 

J. A. Sethian, “A fast marching level set method for monotonically advancing fronts,” Proc. Nat. Acad. Sci., 93 1591 (1996). http://dx.doi.org/10.1073/pnas.93.4.1591 PNASA6 0027-8424 Google Scholar

24. 

S. Osher and J. A. Sethian, “Fronts propagating with curvature dependent speed: algorithms based on Hamilton-Jacobi formulations,” J. Comput. Phys., 79 12 (1988). http://dx.doi.org/10.1016/0021-9991(88)90002-2 JCTPAH 0021-9991 Google Scholar

25. 

I. Y. Jang et al., “Defect printability comparing actinic printing with advanced simulation for EUV masks,” Proc. SPIE, 8679 86790H (2013). http://dx.doi.org/10.1117/12.2011493 PSISDG 0277-786X Google Scholar

26. 

V. Jindal et al., “Inspection and compositional analysis of sub-20 nm EUV mask blank defects by thin film decoration technique,” Proc. SPIE, 8679 86791O (2013). http://dx.doi.org/10.1117/12.2012173 PSISDG 0277-786X Google Scholar

27. 

A. Rastegar and V. Jindal, “EUV mask defects and their removal,” Proc. SPIE, 8352 83520W (2012). http://dx.doi.org/10.1117/12.923882 PSISDG 0277-786X Google Scholar

28. 

K. D. Lucas, H. Tanabe and A. J. Strojwas, “Efficient and rigorous three-dimensional model for optical lithography simulation,” J. Opt. Soc. Am. A, 13 2187 (1996). http://dx.doi.org/10.1364/JOSAA.13.002187 JOAOD6 0740-3232 Google Scholar

29. 

M. Upadhyaya, “Experimental and simulation studies of printability of buried EUV mask defects and study of EUV reflectivity loss mechanisms due to standard EUV mask cleaning processes,” 103 –104 State University of New York, Albany, 2014). Google Scholar

30. 

S. Huh et al., “EUV actinic defect inspection and defect printability at the sub-32 nm half-pitch,” in 25th European Mask and Lithography Conf., (2010). Google Scholar

31. 

S. Huh et al., “EUV actinic defect inspection and defect printability at the sub-32 nm half-pitch,” in 25th European Mask and Lithography Conf., (2010). Google Scholar

32. 

P. Y. Yan et al., “EUVL multilayer mask blank defect mitigation for defect-free EUVL mask fabrication,” Proc. SPIE, 8322 83220Z (2012). http://dx.doi.org/10.1117/12.927018 PSISDG 0277-786X Google Scholar

33. 

Y. Tezuka et al., “EUV exposure experiment using programmed multilayer defects for refining printability simulation,” Proc. SPIE, 6517 65172M (2007). http://dx.doi.org/10.1117/12.711967 PSISDG 0277-786X Google Scholar

34. 

Z. Zhang and T. Liang, “Investigation of resist effects on EUV mask defect printability,” Proc. SPIE, 6730 673016 (2007). http://dx.doi.org/10.1117/12.746707 PSISDG 0277-786X Google Scholar

35. 

T. Liang et al., “EUV mask pattern defect printability,” Proc. SPIE, 6283 62830K (2006). http://dx.doi.org/10.1117/12.681844 PSISDG 0277-786X Google Scholar

36. 

C. Holfeld et al., “Defect printability study using EUV lithography,” Proc. SPIE, 6151 61510U (2006). http://dx.doi.org/10.1117/12.656386 PSISDG 0277-786X Google Scholar

Biography

Mihir Upadhyaya recently graduated from Colleges of Nanoscale Science and Engineering (CNSE), SUNY Polytechnic Institute, Albany, New York, USA, with a PhD in nanoscience and engineering with a high distinction. He completed his BE in computer engineering from Bharati Vidyapeeth University, India, with the highest honors and holds an MS degree in physics from University of Rochester and an MS degree in nanoengineering from CNSE, SUNY Polytechnic Institute, Albany, New York, USA. Currently, he is employed at Intel in Hillsboro, Oregon, USA, as D1C dry etch engineer.

Adarsh Basavalingappa is a PhD student from CNSE, SUNY and a process engineer intern at the Lam Research Corporation. He received his MS degree in semiconductor technology from Asia University, Taiwan. He has worked at Vanguard International semiconductor as device simulation and design intern and as a research associate in intellectual property division of Lakshmikumaran and Sridharan. His areas of research include reliability of semiconductor ICs, plasma processing, and TCAD simulations.

Henry Herbol recently graduated summa cum laude from CNSE, SUNY, Albany, New York, USA, with a double major in nanoscience and mathematics. Currently, he is pursuing his PhD degree in materials science at Cornell University through computational work in regards to the synthesis of lead selenide nanocrystals.

Kenneth A. Goldberg is the deputy director of the Center for X-ray Optics at the Lawrence Berkeley National Laboratory, specializing in the development of extreme ultraviolet (EUV) and soft x-ray technologies, including lithography, mask imaging, and wavefront measuring interferometry. He is the principal investigator of the SHARP EUV photomask imaging microscope. He received an AB degree in physics and applied math, and a PhD in physics from University of California, Berkeley, USA.

Iacopo Mochi is a physicist specialized in optics. In his career, he worked with a broad range of the electromagnetic spectrum from near-infrared to soft x-rays. In 2008, he started working on EUV lithography at the Lawrence Berkeley Laboratory focusing on the development of instrumentation for reticle inspection and aerial image simulation and analysis. Currently, he is employed as an EUV lithography engineer at IMEC.

Wolfgang Demmerle is a product marketing manager for mask synthesis and lithography simulation solutions at Synopsys, which he joined with the SIGMA-C acquisition in 2006. He has more than 20 years of experience in the semiconductor industry. Previous work experience includes engineering positions at Nikon and ASML. He received a doctor's degree in semiconductor physics from the Technical University of Munich, Germany.

Thomas V. Pistor is the founder and CEO of Panoramic Technology. He received his bachelor's degree from University of Waterloo, Canada, and his PhD from UC Berkeley, California, USA.

Gregory Denbeaux is an associate professor of nanoengineering at the CNSE, SUNY Polytechnic Institute, Albany, New York, USA. He received his BA degree in physics from Wesleyan University in 1993 and his MA and PhD degrees in physics from Duke University in 1996 and 1999, respectively. His research focuses on various aspects of photolithography, including work in the field of EUV photoresist qualification for ASML exposure tools, secondary electron effects in photoresists, and developing defect mitigation strategies for EUV masks.

Biographies for the other authors are not available.

© 2015 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2015/$25.00 © 2015 SPIE
Mihir Upadhyaya, Vibhu Jindal, Adarsh Basavalingappa, Henry Herbol, Jenah Harris-Jones, Il-Yong Jang, Kenneth A. Goldberg, Iacopo Mochi, Sajan Marokkey, Wolfgang Demmerle, Thomas V. Pistor, and Gregory Denbeaux "Evaluating printability of buried native extreme ultraviolet mask phase defects through a modeling and simulation approach," Journal of Micro/Nanolithography, MEMS, and MOEMS 14(2), 023505 (15 May 2015). https://doi.org/10.1117/1.JMM.14.2.023505
Published: 15 May 2015
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Multilayers

Photomasks

Chemical species

Computer simulations

Inspection

Interfaces


CHORUS Article. This article was made freely available starting 14 May 2016

Back to Top