Open Access
11 May 2022 Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?
Author Affiliations +
Abstract

Background: The successful introduction of extreme ultraviolet (EUV) lithography to high volume manufacturing has increased the interest to push this technology to its ultimate limits. This will require photoresist materials, which enable a better tradeoff between resolution, linewidth roughness and sensitivity, and the adaptation of optical resolution enhancements that were originally developed for deep ultraviolet (DUV) lithography.

Aim: We review published research on attenuated phase shift masks (attPSM) for EUV with special emphasis on modeling and fundamental understanding of the imaging characteristics of alternative absorber materials. The overview on previous work is intended to summarize typical observations and learning on obtained results and to serve as a reference for further research on this important topic.

Review approach: The review starts with a summary of related work on attPSM for DUV lithography. It is shown that the understanding and mitigation of mask topography (or mask 3D) effects is key for the analysis and optimization of attPSM for EUV lithography. Observations from several research groups and application of dedicated modeling approaches help to understand the physical mechanisms behind observed lateral image shifts and pitch-dependent shifts of the best focus position.

Results: The imaging physics of attPSM for EUV lithography differs significantly from attPSM imaging in DUV lithography. The “double diffraction” of EUV light from the absorber, the reflection characteristics of the multilayer blank, and the guidance of light through the openings in a low-refractive-index (low-n) absorber introduce important effects that need to be considered in the design and use of attPSM in EUV lithography. It is important to use the optical properties (n and k) and the thickness of the absorber as predictive design parameters of attPSM for EUV lithography (instead of phase and reflectivity). The refractive index of the absorber material is important for binary masks as well. The discussion of low-n absorbers includes both “traditional” attPSM for EUV and low reflectivity absorbers, which exploit the guidance of light inside patterned layers.

Conclusions: In-depth modeling investigations of attPSM and first experiments suggest that absorbers with a refractive index around 0.9 (low-n materials) can help to push high NA EUV lithography into the low k1 regime. Comprehensive optimization of source and mask is required to exploit the advantages of low-n absorbers. Further enhancements can help to push EUV imaging to its ultimate limit.

1.

Introduction

The optical resolution of projection lithography with a wavelength of light λ and numerical aperture (NA) is governed by the Abbe-Rayleigh equation xmin=k1×λ/NA. The technology factor k1 can be considered as a measure of the difficulty to create an image of a feature with the size xmin. For large features with a technology factor k1>0.6, the image provides a more or less correct replica of the mask layout. Imaging smaller features with a decreased k1 value produces increasingly blurred images. The involved optical proximity effects have an adverse impact on the quality of the obtained image. Several optical resolution enhancement techniques including off-axis illumination, optical proximity correction (OPC), source mask optimization (SMO), and inverse lithography technology (ILT) were developed to push deep ultraviolet (DUV) lithography to smaller k1 values, see for example Refs. 14. The theoretical limit for the single exposure of dense line-space (L/S) patterns is given by k1=0.25. The practical limit of k10.28 for ArF immersion lithography with λ=193  nm and NA=1.35 restricts single exposure printing of dense L/S patterns to half-pitches (hp) of about 40 nm.

The introduction of extreme ultraviolet (EUV) lithography with a wavelength of 13.5 nm and NA of 0.33 to high-volume manufacturing enables the single exposure of 24 nm half-pitch L/S patterns with a comfortable k1 of 0.59. Significantly smaller k1 of about 0.32 would enable half-pitches down to 13 nm for the present EUV systems or down to 8 nm for the next generation EUV systems with an NA of 0.55, respectively. The practical realization of such values requires not only photoresist materials that enable a better tradeoff between resolution, linewidth roughness and sensitivity5,6 (RLS), but also the adaptation of resolution enhancements that were originally developed for DUV lithography.7,8 The illumination systems of state-of-the-art EUV scanners provide flexible source shapes with pupil fill ratios down to 20% and support for SMO.9 Simple feature size biasing to compensate for pitch- and orientation-dependent printing of features is routinely done. However, more aggressive resolutions enhancements such as subresolution assist features1012 or various forms of strong phase shift masks (PSM) that require a multilayer deposition over a phase step13 or an etching of the multilayer14,15 cannot provide manufacturable solutions with the present mask technology.

Because of the relatively straightforward implementation, attenuated phase shift masks (attPSM) were considered as “the wild card of resolution enhancement techniques” for DUV lithography, see Chapter 6.3 of the book of Wong.1 The implementation of attPSM for EUV relies on the identification of appropriate absorber materials, geometries, and the adaptation of the existing mask infrastructure to the new materials. This article reviews recent research results and findings on attPSM for EUV with special emphasis on the involved optical effects and modeling aspects.

We will start with the description of the general concept of attPSM for DUV in Sec. 2.1. Then, we summarize the selected learnings from investigations on the imaging characteristics of attPSM for DUV. This includes the consideration of phase errors and a discussion of mask 3D effects and mitigation strategies. The description of attPSM for EUV in Sec. 4 starts with an overview on proposed geometries, materials, and EUV specific mask 3D effects. Until a few years ago, all efforts were directed toward the search for materials and geometry configurations that provide a phase shift π of the absorber covered area of the mask compared to phase of the reflected light without an absorber. Our simulation-based screening of several absorber candidates16 and findings of other groups17,18 indicated that absorbers with a phase shift different from π can provide a better imaging performance. Investigations of the root causes of this phenomenon and the observation of the guidance of light through the openings of low-refractive-index (low-n) absorber materials have significantly improved the understanding of light diffraction and imaging of EUV masks for currently used EUV systems with an NA of 0.33 and for the next generation high NA EUV systems. This better understanding will help to manage the stronger interdependence of mask geometries, mask materials, and source shapes and their impact on SMO and ILT for future generations of EUV lithography.

2.

Attenuated PSM for DUV

2.1.

Basic Concept

In 1988, a team of Massachusetts Institute of Technology (MIT) proposed to improve the imaging of x-ray lithography by using an absorber that produces a π-phase shift in addition to about 10 dB attenuation.19 The higher local image contrast of attPSM relies on the destructive interference of light that passes through the clear openings of a mask with π-phase shifted light, which is transmitted through the semi-transparent absorber covered areas of this mask. Following Terasawa et al.,20 Fig. 1 explains the concept of an attPSM by a comparison with a binary mask. The binary mask consists of a transparent substrate and the chromium absorber that completely blocks the light in the nominally dark areas. In the Kirchhoff approach (thin-mask model), the amplitude of the transmitted light directly below the mask exhibits a sharp jump from zero (chromium covered area) to a finite positive value in the open area of the mask. The diffraction limited projection lens generates a blurred intensity distribution at the wafer. The absorber of the attPSM on the right of Fig. 1 consists of a thinned (halftone) chromium layer, which transmits a part of the light, and a transparent layer, which shifts the phase of the transmitted light. The thicknesses of the chromium and shifter are chosen to produce a phase shift of π, which causes a flip of the sign of the amplitude of the transmitted light. The amplitude of the transmitted light directly below the mask jumps between a small negative value in the absorber-covered area and a larger positive value in the open area. The resulting image of the attPSM is impacted by the diffraction as well. However, the destructive interference of light from the absorber and open area, respectively, causes a minimum intensity between these areas and increases the slope of the bright spot below the open area. In lithographic terms, it increases local contrast, NILS, and exposure latitude. Similar explanations can be found in other early publications on attPSM by Lin,21 and Buck and Rieger22 as well.

Fig. 1

Conceptual explanation of attPSM (right) in comparison to a binary mask (left). Adapted from Terasawa et al.20

JM3_21_2_020901_f001.png

Figure 2 presents simulated images of an isolated 180-nm-wide slit for varying intensity transmission T of the absorber. To visualize the impact of T on the shape of the central intensity peak, the image cross-sections on the left of the figure are normalized to their maximum value. These cross-section plots demonstrate that an increased transmission T of the π-phase shifted absorber sharpens the intensity peak at the center of the slit. As shown on the right of the figure, the sidelobes on both sides of the main feature mitigate the image blur versus defocus and increase the depth of focus (DoF) as well. Depending on the transmission T and photoresist threshold, sidelobes with higher intensity peaks will start to print as (non-intended) individual features. The risk of printing sidelobes might even become worse for certain wave aberrations of the projection lens. Printability of sidelobes is one of the limiters of the usable transmission of attPSM. It is strongly influenced by feature size, pitch, coherency of exposing radiation, and resist sensitivity.23 The risk of printing sidelobes can be mitigated by appropriate biasing of main features and by placing additional dark opaque features at sidelobe locations.24,25

Fig. 2

Simulated image aerial images of an attPSM with an isolated 180-nm-wide slit for varying transmission T of the absorber. Imaging settings: wavelength λ=193  nm, NA=0.5, and circular illumination with σ=0.3. Left: image cross-section at nominal image plane (defocus = 0); right: image intensity versus defocus and x.

JM3_21_2_020901_f002.png

Although originally proposed for the improved printing of isolated features, attPSM can be also combined with off-axis illumination to improve the printing of dense features. This can be demonstrated by the imaging of dense L/S patterns with a spacewidth w, and period p. For a thin-mask model, the complex valued diffraction amplitude am of the m’th diffraction order is given by analytic expressions26

Eq. (1)

am={(1τ)wp+τfor  m=0(1τ)wp·sinc(m·wp)otherwise,
where τ is the complex-valued transmission coefficient of the absorber: |τ|2=T.

Figure 3 presents plots of the real valued diffraction efficiencies ηm=amam* of the zeroth and first diffraction order for a phase shift Φ=π and several values of T. The π-phase shifted background transmission of the mask increases the diffraction efficiency of the first order at the expense of the zeroth order. This suggests that the value of T and the phase shift can be used to balance the intensities of the two diffraction orders. The contrast values on the right are derived from a two beam interference between zeroth and first diffraction order, as obtained in a typical off-axis illumination scenario close to the resolution limit. High contrast images of binary masks can be only achieved by smaller spaces. The corresponding negative biasing of bright features implies larger values of the required exposure dose. AttPSM with T>0 provides high contrast values for larger spacewidths. In other words, attPSM requires less negative biasing of bright features and supports the printing with lower exposure dose. In two-beam interference, the optimum transmission of 4.9% for w=p/2 is close to the 6% transmission value of standard MoSi-type masks for DUV lithography.

Fig. 3

Zeroth (left) and first (center) order diffraction efficiency η0,1 versus spacewidth-to-pitch ratio w/p for different values of background intensity transmission T. Right: interference contrast c of the orders versus w/p.

JM3_21_2_020901_f003.png

The practical realization of a phase shift π requires an optical path difference λ/2 between light passing the absorber with a refractive index n and thickness d and light traveling the same distance in the absorber free areas with a refractive index of 1. The transmission T and phase shift Φ of light after a single pass of a homogeneous absorber layer with a thickness d are obtained by

Eq. (2)

T=exp(2πλk·dcosθ),Φ=2πλ(n1)·dcosθ,
where θ specifies the propagation angle of light inside the absorber (close to zero for DUV lithography). Although these equations do not account for the impact of the layer interfaces on the transmission or phase of the light, they indicate that a π shifter with a given transmission T requires materials with special combinations of n and k or combinations of two or more layers of different materials.27

The first attPSM for i-line and KrF lithography combined a thinned chromium layer with a spin-on-glass to adjust the phase of the transmitted light.28,29 These bilayer absorbers were replaced by MoSi-based single layers.30,31 The refractive index n and extinction coefficient k of these MoSiO or MoSiON layers can be adjusted by deposition conditions and enables the fabrication of π shifters with transmission values between 5% and 20%.32 MoSi-based attPSM, sometimes also referred as embedded PSM, were later adapted for ArF lithography.33,34

The successful introduction of MoSi-based absorber layers made the fabrication of attPSM very similar to chromium on glass mask making. AttPSM can be used for any arbitrary mask pattern. In general, attPSM offer a larger exposure latitude and DoF at a lower exposure dose than binary masks. OPC software is used to identify the optimum tradeoff between large process margins and the risk to print sidelobes. Attenuated PSMs are well established in manufacturing for DUV lithography.

Although there is no universal solution, high transmission attPSM can offer the largest benefits for imaging certain layouts close to the resolution limit.35 Investigations on new materials and processes for high transmission attPSM for DUV are still ongoing.36,37

2.2.

Selected Learnings

The practical implementation and use of attPSM for DUV lithography involved extensive investigations on mask design, materials, patterning techniques, metrology, inspection, and other components of the mask infrastructure.38 Here, we will focus on aspects that are important to understand the imaging characteristics of attPSM. Certain aspects of this imaging characteristics are not accessible by simplified thin-mask, i.e., Kirchhoff-type models that describe the mask as an infinitely thin object with a given transmission and phase. The detailed understanding of light diffraction and imaging using state-of-the-art DUV (and EUV) masks requires the consideration of the full 3D geometry and of the optical properties (refractive index n and extinction coefficient k) of the involved materials. Effects that cannot be described by a thin-mask model and depend on the 3D geometry, and optical material properties are referred as mask topography or mask 3D effects.2

2.2.1.

Impact of phase errors

Before going into details about mask 3D effects for DUV, we will discuss the impact of phase errors on the imaging of attPSM. The impact of phase and transmission errors of attPSM has been investigated by several authors, see for example Refs. 3940.41. The following simulation examples use dedicated parameter settings to demonstrate several effects that are important for the understanding of mask 3D effects for DUV and EUV lithography.

The simulation results in Fig. 4 demonstrate the impact of the (background) phase Φ of attPSM on the through-focus imaging behavior. The left column of the figure indicates a shift of the best focus (BF) position of an isolated space versus Φ. For the nominal value of Φ=π, the image is symmetric around the BF at zero. Deviations from the nominal phase value move the image along the defocus axis and make it asymmetric with respect to the BF position with the highest contrast.

Fig. 4

Simulated image intensity versus defocus and x for attPSM (T=6%) with different values of the phase shift Φ. Imaging settings: wavelength λ=193  nm, NA=0.5; left column: isolated 180-nm-wide space, and circular illumination with σ=0.3, other columns: 110 nm dense L/S, illumination left/right single poles and dipole at σ=0.8.

JM3_21_2_020901_f004.png

The images in the other three columns are obtained for dense L/S and single point sources (poles) or a dipole illumination, respectively. For single pole illumination, the interference of the two contributing diffraction orders within the NA (zeroth and first or zeroth and −first) creates an array of stripes that is tilted with respect to the defocus axis, i.e., it exhibits a pronounced nontelecentricity (nTC) or variation of feature position versus defocus. Superposition of the two single pole intensity distributions using the dipole illumination recovers the symmetry and removes the image tilt along the defocus axis (nTC).

Modification of the phase Φ moves the interference pattern (single-pole images) along the x-axis. For Φ=π, the image at zero defocus is symmetric with respect to x. Deviations from the nominal phase shift of π and the resulting opposite shifts of the single-pole images blur the resulting dipole image at the nominal zero defocus position. The BF with highest contrast of the dipole images moves away from the nominal zero defocus position.

In general, illumination systems for DUV imaging are symmetric to avoid non-telecentricities. Due to the off-axis illumination of the mask, these non-telecentricities and related effects cannot be completely removed in reflective EUV imaging systems. The specific settings in Fig. 4 are chosen to demonstrate that a phase error of the attPSM, i.e., a deviation from the nominal phase Φ=π results in shifts of the BF position. Phase errors of attPSM can also result in lateral image shifts and blur of images that are generated by different parts of the source. The specific impact of the phase error depends on the sources shape. We will come back to this second observation in Sec. 4.2.

2.2.2.

Mask 3D effects

The first investigation on mask 3D effects for attPSM were performed by Wong and his colleagues at Berkeley and IBM.4244 He employed the rigorous finite-difference time-domain simulator TEMPEST to compute the light diffraction and imaging for selected geometries and materials. The simulation results and comparison to experimental data from an aerial image measurement system demonstrated the impact of light scattering at the absorber edges on phase errors, pitch- and feature size-dependent shifts of the BF position, and image asymmetries around the BF position. He observed that these effects are less pronounced for bright field masks (isolated lines and pillars) than for dark field masks (spaces or holes). The simulation results indicated that light tends to propagate into higher index material. This well-known phenomenon is important for the understanding of mask 3D effects in EUV as well.

Diffraction analysis of the mask, i.e., computation of the diffraction efficiency and phase of the diffracted light for propagating orders in the far-field of the mask provided additional insights into the impact of mask 3D effects on the performance of attPSM.45,46 Figure 5 presents simulated diffraction efficiency and phase values in the far-field of a MoSi-type attPSM with dense L/S (duty ratio 1:1) versus the wafer-scale linewidth. Results of the thin-mask model (Kirchhoff) and of rigorous simulations with x [transverse magnetic (TM)]- and y [transverse electric (TE)]-polarized light are shown. For large linewidths, all simulation results indicate an almost perfect balancing of the zeroth and first diffraction order. The differences between the results of the used model assumptions increase for linewidths below 100 nm. The thin-mask model cannot predict significant variations of the diffraction efficiency and phase of the diffracted light for sub-100-nm linewidths. Interestingly, the variation of diffraction efficiency is more pronounced for y-polarized light. The negative values of fraction of polarization (FoP) indicate that the mask starts to act as an x- or TM-polarizer with negative impact on the image contrast. Additional observations on the unfavorable polarization performance of standard MoSi-type attPSM for DUV were described by several authors.4749 The increased variation of the phase for small linewidths indicates mask 3D effects that are very similar to wave aberrations of the projection lens.5052 The significant impact of mask 3D effects on the phase and polarization characteristics of attPSM was confirmed by quantitative phase imaging. Shanker et al.53 analyzed thick-mask edge-diffraction effects in attPSM by extracting the optical phase at the wafer plane from a series of through-focus aerial images with 193 nm light.

Fig. 5

Diffraction analysis for a standard MoSi-type attPSM with dense L/S for vertical incidence and a wavelength of 193 nm. Left/upper: diffraction efficiency of the zeroth order. Left/lower: diffraction efficiency of the first order. Right/upper: FOP for zeroth and first first order. Right lower: phase difference between zeroth and first, result of Kirchhoff (thin-mask) model and rigorous simulation using x (TM)- and y (TE)-polarized light, respectively. This figure has been reprinted from our JM3-paper on this topic.46 More detailed explanations on the setting, used simulation parameters, and the definition of the FoP is given there.

JM3_21_2_020901_f005.png

The findings on mask induced polarization and aberration-like mask 3D effects triggered investigations on alternative absorbers for attPSM in DUV lithography. Bubke et al.54 compared the polarization characteristics of standard chromium, standard MoSi-type, and a Ta/SiO2 bilayer aborber for ArF. Both rigorous calculations and direct measurements of diffraction efficiency demonstrated a significant impact of material, pitch, and incidence angle of the light on the polarization characteristics. Similar investigations were also reported by other authors.5557 We employed multiobjective optimization techniques in combination with rigorous diffraction and imaging simulations to identify bilayer attPSM stacks with a favorable imaging performance.58 Despite of certain advantages of Ta/SiO2 and several other bilayer stacks, none of them has been used in high volume manufacturing. Instead, a new opaque MoSi on glass mask blank (OMOG) has been introduced into manufacturing due to its reduced mask 3D effects.59,60 Because of its low transmission, OMOG cannot be considered as an attPSM.

3.

Reflective Masks for EUV Lithography

3.1.

Basic Geometry and Properties

EUV lithography employs reflective masks, which consist of an absorber on top of a multilayer. Figure 6 presents a simplified schematic of an EUV mask. More details on additional components of the mask, their fabrication and metrology for EUV masks are described by Ahn and Jeon.62 The Bragg-type multilayer reflects the incident light, whereas the absorber defines the pattern on the mask by blocking or modifying the reflected light from the absorber-covered areas. It is important to note that (most of) the incident EUV light is not reflected from the top of the multilayer, but from layer interfaces inside the multilayer. For typical Mo/Si multilayers and directions of the incident light, the reflections from the individual interfaces add up to about 65% to 70% reflected light from a virtual reflection plane about 50 nm below the top surface of the multilayer. The distance between the absorber and the virtual reflection plane, sometimes referred as Zeff, contributes significantly to the 3D aspects of light diffraction from EUV masks. Alternative RuSi multilayers with a smaller Zeff can help to mitigate mask 3D effects.6365

Fig. 6

Schematic of an EUV mask. (a) Geometrical representation by an absorber on the top of a reflective multilayer. (b) “Double diffraction” scheme: first diffraction of the incident light (green bold arrow) by the absorber pattern, backreflection from the multilayer, and second diffraction from the absorber.61

JM3_21_2_020901_f006.png

The “double diffraction” scheme on the right of Fig. 6 indicates that the EUV light is diffracted by the absorber twice. First, the incident light from the illumination system is diffracted toward the multilayer. Second, the backreflected (upward propagating) light from the multilayer is diffracted toward the projector in the far-field of the mask. The mixing of different diffraction orders by the double diffraction has important consequences on the resulting images.17,61,66 Specific consequences of the double diffraction for attPSM are discussed in Sec. 4.2.

The ranges of accessible refractive index n and extinction coefficient k at a wavelength of 13.5 nm are much smaller than corresponding ranges for DUV lithography, see also data in Fig. 8. This limits the optical material contrast of possible material combinations for EUV masks. The absorber interacts both with the incident light from the source and with the backreflected light from the multilayer. It has to be thick compared to wavelength to enable a sufficient modulation of the intensity and phase of the reflected light. Another consequence of the lower optical material contrast is the lower sensitivity of light diffraction from EUV masks to the polarization of the incident light. Absorber gratings with very small pitches or incidence angles close to the Brewster angle are required to provoke significant polarization effects by interaction with EUV masks or multilayers.68,69

To separate the reflected from the incident light, the illumination of the mask has to be tilted with respect to the surface normal of the mask. The oblique illumination with a propagation vector in the xz-plane introduces a dependency of the imaging from the orientation of the features on the mask.70 In general, the asymmetric illumination of horizontal (y-parallel) features makes them more sensitive to mask 3D effects. The situation changes with the transition to anamorphic systems in high NA systems. The orientation-dependent mask scale (8× in tilt direction along the y axis and 4× along the x axis) mitigates certain mask 3D effects for horizontal features in high NA systems.71,72 However, the smaller vertical (x-parallel) features on the mask become more sensitive to mask 3D effects.

3.2.

Mask 3D Effects in EUV Systems

The described peculiarities of reflective EUV masks involve several characteristic mask 3D effects.7375 Selected observations for NA0.33 systems are highlighted in Fig. 7. The asymmetric illumination of the mask introduces an asymmetric shadowing and a variation of the position of the printed feature versus the focus position (nTC). The amount of nTC depends on the absorber thickness, feature orientation, position in the exposure slit, and illumination geometry.

Fig. 7

Typical mask 3D effects in EUV systems. See Ref. 75 for a more detailed discussion of the shown phenomena and the relevant settings.

JM3_21_2_020901_f007.png

Different feature positions and nontelecentricities of images, which are generated by individual parts of the illumination source, cause a blur of the image, which is generated by the complete source. Such image blur and the resulting contrast fading have been observed both for DUV and for EUV76,77 systems. Over certain thickness ranges, the contrast fading tends to increase with the absorber thickness. The amount of blur and its behavior versus absorber thickness depends on the refractive index and extinction of the absorber material. The importance of such blur effects for the imaging characteristics of attPSM for EUV will be discussed in Sec. 4.2.

The plot of the phase on the lower left of Fig. 7 indicates the wavefront deformation that occurs when EUV light propagates through an absorber. Further details, methods for the quantitative analysis of the involved effects and their impact on imaging are discussed in Refs. 7879. Most importantly, the mask-induced deformation of the wavefront results in a feature size and pitch dependent shift of the BF position, where the images with the highest contrast can be obtained. Because the wavefront deformation tends to increase for low-n absorber materials, the understanding of the involved effects is of key importance for the optimization of attPSM for EUV.

Several strategies including new absorber materials,80,81 alternative mask stacks,82 assist features,11,83 and source optimization84,85 have been proposed to mitigate mask 3D effects in EUV systems with a NA of 0.33, see our 2017 review article75 and references therein for further details. Over the past 5 years, a considerable effort has been spent for the exploration of new absorber materials for EUV. The next section provides a brief overview on material options for EUV mask absorbers. The perspectives of attPSM and low-n absorbers to mitigate mask 3D effects and to push EUV imaging to a smaller technology factor k1 is discussed in Sec. 4.

3.3.

Material Options

Figure 8 presents a plot of the accessible refractive index n and extinction coefficient k of materials at a wavelength of 13.5 nm. The optical material data (n and k) have been taken from the CXRO database.67 Several specific materials with the lowest refractive index and/or largest extinction coefficient are highlighted in the plot. Certain combinations of materials can be also deposited as alloys and provide access to the area of the nk-space between these materials.8689 The specific n and k values of absorber layers depend on the deposition conditions. More accurate experimental n and k values, which consider these deposition conditions, are determined by analyzing EUV reflectivity data.90,91 The practical choice of material for EUV mask is governed by additional criteria such as durability for mask cleaning, mask lifetime, and scanner compatibility.88,92,93

The data in Fig. 8 indicate three groups of materials. 30 to 40-nm-thick absorbers with high extinction coefficient k exhibit reflectivities below 1% and can provide high contrast binary masks for EUV. Table 1 presents an overview on several proposed/investigated alternative materials/stacks for binary EUV mask. The cited investigations, including first wafer prints,99 have demonstrated the potential of high-k absorbers to improve the imaging of L/S patterns. However, due to the involved intensity loss and high dose requirements, high-k absorbers cannot provide favorable solutions for several other patterns such as arrays of contact holes.

Fig. 8

Plot of materials from the CXRO database67 (small blue dots) versus refractive index n and extinction coefficient k (nk-space). TaBN represents a state-of-the-art absorber material.16

JM3_21_2_020901_f008.png

Table 1

Proposed/investigated alternative materials/stack for binary EUV mask.

ReferenceMaterialRemark
Matsuo et al.94SnO/CrN bilayerExperimental realization including reflectivity and etch transfer
Rastegar et al.95NiLayer deposition, characterization and etch transfer
Hay et al.96Ni/TaNNanocomposite (nickel nanoparticles in TaN host)
Ikebe et al.97Ni, Ta/SiTa/Si presents a multilayer type absorber that uses the phase cancelation between the absorber interface and the Mo/Si multilayer mirror interface
Philipsen et al.80Ni, CoModeling, deposition, experimental evaluation on wafer substrates
Luong et al.86NiAl alloyModeling, deposition, and experimental characterization
Fernandez et al.98NiFirst experimental demonstration of improved image contrast by lensless imaging
Finders et al.99Test mask at k=0.04First experimental demonstration of advantage of high-k by wafer prints
Wu et al.87,88TaTeN alloyHigh-n of this material reduces best focus shift and non-telecentricity

Materials with a refractive index close to 1 minimize the deformation of the phase, BF shifts and nTC. However, most of these n1 materials, including aluminum, have only a small extinction and do not provide sufficient contrast at a small thickness. Thin absorber materials from the lower left region of the nk-space generate an increasing amount of reflected light from the nominally dark regions of the mask. Appropriate combinations of materials and thickness values can establish attPSM absorbers for EUV and will be discussed in the next section.

In addition to the investigation of specific material systems, several studies have been performed to characterize the impact of n and k independent from specific material combinations81,100 or for flexible material compositions that provide access to large regions of the nk-space.16 Timmermans et al.101 proposed a mask decision tree that exhibits the achievable imaging gain and indicates remaining potential challenges for different use cases. Tanabe102 employed the ratio k/(1n) to classify EUV masks into four categories. More details on low-n materials and attPSM for EUV are discussed in the next section.

4.

Attenuated PSM for EUV

4.1.

Search for a π Shifter

The first proposal to use attPSM in EUV lithography was made in 1993 by Nguyen et al.103 They observed sidelobes in simulated images of a 60-nm-thick carbon absorber “similar to that for attenuated phase shifted mask” and proposed to employ this effect to sharpen line edges. Shortly afterward, Wood et al.104 reported on the first experimental realization of attPSM for EUV using a transmission mask with a bilayer absorber that consisted of a 262-nm-thick bottom layer of polymethylmethacrylate (PMMA) and a 27-nm-thick top layer of Ge. Similar concepts for transmissive attenuated phase mask are still used today in the application of transmissive phase shift masks for (achromatic) EUV Talbot lithography.105 It is also interesting to note that the reflectivity of currently used approximately 60 nm-thick TaBN absorbers on top of Mo/Si multilayers is approximately 3% of the reflectivity of the absorber-free multilayer blank and involves a phase shift of 0.9π. In other words, the currently used TaBN absorber can be also considered as a poorly optimized attPSM. Selected aspects of the non-zero reflectivity of TaBN absorbers with varying thickness were discussed by Kamo et al.106 and Tanabe et al.107

In general, the transmission T and phase shift Φ of attPSM for EUV can be implemented by single or multiple layer absorbers on top of standard multilayer blanks or by combinations of etched/deposited multilayers. Figure 9 exhibits a selection of proposed geometries. Although several of the etched multilayer configurations in the center and right column of Fig. 9 can offer additional options to mitigate mask 3D effects for EUV and few masks with such geometries have even been fabricated and experimentally characterized, they cannot offer manufacturable solutions in near future. The discussions in the remaining part of Sec. 4 will focus on attPSM configurations that involve single or bilayer absorbers on standard multilayers.

Fig. 9

Proposals on geometries of attPSM for EUV lithography. The figures are reprinted from the original publications of the authors.108112 Similar configurations were proposed in Refs. 113 and 114.

JM3_21_2_020901_f009.png

Similar to attPSM for DUV, the practical implementation of absorbers with a given T and Φ requires a special combination of refractive index n, extinction coefficient k, and thickness d. Considering that light passes through the absorber in both downward and in upward direction, a factor of 2 has to be added to the thickness in the classical thin film Eq. (2). The incidence angle θ is given by the chief ray angle of incidence of 6 deg in EUV systems with a NA of 0.33 and 5.4 deg in the next generation high NA systems (NA=0.55). The bilayer and trilayer absorber configurations in the left column of Fig. 9 combine a shifter layer with small extinction and low refractive index with a high-k attenuator. Jeong et al. proposed an extra Al2O3 layer in the stack as an additional knob to tune the reflectivity and enable better inspection of the absorber.108,115

Table 2 provides an overview on proposed/investigated material options for the shifter and attenuator layers. These material options include both bilayer systems and alloys. The majority of the proposed bilayer systems combine a low-n shifter layer with a thin higher k attenuation layer to adjust the desired reflectivity of the absorber. The phase shift and reflectivity of alloy-based absorbers can be tuned by the relative amount of materials and the thickness of the absorber.89 Material combinations and alloys that provide the desired phase shift and reflectivity have to fulfill many additional requirements resulting from the processing of the materials during mask fabrication, mask repair, and use of the mask in the scanner.87,92 The references cited in Table 2 discuss some of these aspects for specific material combinations. Studies of specific material systems were complemented by investigations of the impact of n and k on the imaging characteristics largely independent of specific material combinations.16,81,100

Table 2

Proposed/investigated materials for shifter and absorber layers in bilayer attPSM for EUV. In addition to this nonexhaustive list, commercial providers of mask blanks have reported on the status of their developments.116,117

ReferenceShifter/attenuatorRemark
Han et al.118SiON/Cr, SiON/TaComparison with binary masks
Sugawara et al.110Ru/TaNComparison with alternating PSM
Matsuo et al.94Ru/SnOExperimental realization including reflectivity and etch transfer
Shin et al.115Mo/TaNTrilayer stack including a Al2O3 spacer
Yan et al.119,120TiN/TaN, MoSi/TaN, MoSiON/TaNFirst experimental demonstration of improved imaging performance compared to binary absorber, see Fig. 10
Lee et al.121PdOSimulation of single layer stack and comparison to TaBN standard absorber
Burkhardt et al.18PdSimulations demonstrate reduced BF shift for 33-nm-thick Pd
Lare et al.122Ru, Pd, MoSimulations on impact of thickness, see next section
Kang et al.123Pt/CrN multilayer absorberSimulations include combinations with Mo/Si and Ru/Si multilayer blanks
Kang et al.124Ru alloy/TaBOInvestigations on different shifter heights
Wu et al.88Ru-Ta alloys and Pt-Mo alloysSimulation, experimental layer deposition, and characterization

Fig. 10

First experimental demonstration of improved through-focus imaging performance of EUV attPSM (bottom row) in comparison to a binary mask (top row) using the Berkeley National Lab’s EUV actinic inspection tool for 18 nm dense L/S, NA=0.25, off-axis illumination (monopole with radius σ=0.5). Reprinted from Ref. 119.

JM3_21_2_020901_f010.png

Simulations of Yu et al.125 demonstrated that 30-nm-thick absorbers with n=0.88 and k=0.04 have the potential to reduce mask 3D (shadowing) effects in EUV systems with larger NA. In a follow up publication, they reported on problems of off-axis illumination in combination with attPSM for EUV caused by “… additional phase shift between the two diffraction orders. This leads to a positional shift of the resultant aerial image … we may conclude that single-patterning EUVL will probably end at a technology node with the minimum pitch of 22 nm, unless we can come up with other innovative ways for performing EUVL imaging …”77

4.2.

Toward the Optimum Low-Refractive-Index Absorber

Extensive simulation studies were performed to characterize and understand the observed BF and lateral pattern shifts in the imaging of EUV masks.74,78,126 It was demonstrated that the effects depend on mask properties such as absorber material, thickness, and, tonality but also on the illumination of the mask. This section discusses selected recent findings that provide a better understanding of the involved imaging mechanisms and enable a more efficient design and use of attPSM for EUV. The classical approach to design an absorber is exclusively based on the intensity and phase of the reflected light from a homogeneous thin film stack cannot provide the ultimate best solution. Double diffraction of light by the absorber and the guidance of light through open areas of a low-n absorber introduce additional criteria for the identification of good attPSM absorbers. The introduction of a low-n material does not only shift the phase of the transmitted/reflected light, it impacts the intensity and phase distribution in the vicinity of absorber patterns as well. This has consequences for the exposure dose, BF position, biasing, and selection of tonality. Several routes to unlock the full potential of attPSM for EUV are briefly discussed at the end of this section.

Burkhardt100 introduced a phasor diagram to analyze the impact of the phase shifts between zeroth and first diffraction order on the imaging of dense L/S patterns. Figure 11 exhibits such phasor diagram and the corresponding monopole and dipole images. Any imaginary component of the phasor (and the corresponding phase shift between the zeroth and first diffraction order) creates spatially shifted images of the individual monopoles and causes a drop of the contrast for the dipole image. Note that the image cross sections on the right of Fig. 11 exhibit a similar behavior as simulated images of attPSM with phase errors in Fig. 4 at fixed focus positions. Burkhardt applied this methodology both to high-k and low-n absorber candidates for EUV and concluded “… that the image split (between the poles) can be reduced or even eliminated by either moving to an index matched to vacuum (n=1) at the cost of reduced intrinsic contrast, or by moving to a more dielectric phase shifting material at a thickness that gives approximately a phase shift of π…”18 Note that the phase shift in his argument does not refer to the phase shift of a homogeneous absorber but to the observed standing wave pattern in the near-field of a patterned EUV mask.

Fig. 11

Dipole illumination on the left for a 28-nm pitch L/S grating, phasor diagram, and resulting aerial images for monopoles and dipole. In the case of horizontal patterning in EUV, we have different images for the small angle pole (magenta) and the large angle pole (green). Reprinted from an article of Burkhardt.100

JM3_21_2_020901_f011.png

In recent simulations for a very special imaging scenario, we observed a strong impact of the absorber refractive index n on image cross-sections of single-pole and dipole images, and on the NILS of dipole images, see Fig. 12. The simulations investigated the imaging of L/S with a pitch of 32 nm with a high NA system. The position of the used leaf-shaped poles is optimized for a pitch of 16 nm. For the chosen combination of illumination and pitch, a significant part of light in the first diffraction order is blocked by the center obscuration of the system. This special configuration makes the described test case very sensitive to mask 3D effects. The partial blocking of the first diffraction order increases the impact of the second diffraction order and related amplitude and phase effects on the image. This enhances the sensitivity of the imaging results to the refractive index and extinction coefficient of the absorber material.

Fig. 12

Simulated impact of refractive index n of absorber on image cross-sections of single-pole and dipole images and on NILS of dipole images. See Ref. 61 for further details.

JM3_21_2_020901_f012.png

The simulation results in Fig. 12 demonstrate a significant impact of the absorber n both on the contrast of the single-pole images and on the shift between the single-pole images. A low-refractive-index of the absorber improves the contrast of images, which are obtained with single pole illumination. On the other hand, low-n materials introduce an image shift between images of different poles. Although this result was obtained for a very specific imaging scenario and poorly optimized attPSM (see remark in first paragraph of Sec. 4.1), it emphasizes the specific importance of the absorber n for high NA EUV imaging.

Figure 13 from a presentation of van Lare et al.122 provides rigorously simulated NILS values of contact holes imaged with different absorber candidates versus thickness. The vertical dashed lines in the plots indicate the absorber thickness, which provides a phase shift Φ of π according to classical thin film considerations [similar to Eq. (2)], and the absorber thickness, which provides the highest NILS. Although this simulation result was obtained for a specific illumination and mask bias, it predicts the same tendencies as the results of our multiobjective optimizations of attPSM stacks for similar use cases, which included variation of the mask bias and source shape.16 AttPSM absorbers with a combination of refractive index and absorber thickness, which correspond to phase shifts Φ>π, can provide better imaging performance than “classical” π-shifters. Van Lare et al.122 suggested a rule of thump Φopt=1.2π. We observed similar values in our simulations but also demonstrated that combinations of n, k, and absorber thickness, which provide attPSM absorbers with the same transmission T and phase Φ, may exhibit a different imaging behavior versus pitch.127

Fig. 13

NILS versus pitch and mask absorber thickness for contact holes with CD=16.8  nm, NA 0.55, and small-annular illumination, showing the optimum mask thickness per pitch for Ru (a), Pd (b), and Mo (c). (d) The mask properties of the optima chosen for the different materials. Reprint of Fig. 7 from van Lare et al.122

JM3_21_2_020901_f013.png

The reason for these specific characteristics of attPSM for EUV was originally attributed to nonspecific mask 3D effects or to an effective phase term that is picked up by the light traveling adjacent to the absorber with the real part of the index of refraction different from vacuum.102,126 Recent simulations by a semi-analytical double diffraction model17 and hybrid mask models of the Fraunhofer IISB lithography simulator Dr. LiTHO127129 provided deeper insights. This is demonstrated in Fig. 14, which presents simulated phase shifts between zeroth and first diffraction order versus the absorber phase Φ. Both, the fully analytical model and the semi-analytical model of van Lare et al.17 computed the complex diffraction amplitudes rn in the far-field of the reflective EUV mask by a weighted superposition of diffraction orders and the equation is given as

Eq. (3)

rn=ianmupR(αm)amdown,
where aiup/down are the complex diffraction amplitudes of light for the first and second diffraction from the absorber pattern (see right scheme in Fig. 6). R(αm) is the reflection coefficient of the multilayer for the propagation angle αm of the m’th downward propagating order after the first diffraction from the mask.

Fig. 14

Simulation of phase shift between zeroth and first diffraction order (Δ phase) in a reflective EUV mask by a fully analytical model (left and center) and by a semi-analytical model17 (right) versus the phase Φ of the attPSM for dense L/S with a half-pitch of 8 nm. The results of the analytical model are plotted for absorbers with different transmission and multilayer with different BWs. The complex diffraction amplitudes of the semi-analytical model are obtained for a 35-nm-thick Ru absorber with n=0.88 and k=0.02. The phase Φ of the semi-analytical model is obtained from thin film calculations.

JM3_21_2_020901_f014.png

The analytical model calculates the complex diffraction amplitudes according to Eq. (1) from Sec. 2.1. It is important to consider that the light passes through the absorber twice, i.e., the total phase Φ and transmission T has to be split to Φ/2 and T in downward and upward direction, respectively. The multilayer reflectivity R is specified by a parametric model, which describes the multilayer by a region with a constant high reflectivity within a distinct angular range or bandwidth (BW) and by the position of the effective reflection plane inside the real multilayer (Zeff).64 The semi-analytical model computes the diffraction amplitudes by fitting to rigorous diffraction calculations, see Ref. 122 for details.

Despite the different modeling approaches, both models exhibit a similar tendency versus the phase Φ of the attPSM. The optimum value of Φ is obtained for a Δ phase of zero, which minimizes the pattern shift. The asymmetric behavior of the analytical model for T>0 results from the split of the absorber phase Φ into two identical parts (double pass of the absorber) and different illuminations of the thin absorber in forward and backward direction. Notably, the optimum phase value of the mask for a multilayer with a limited angular range of high reflectivity (BW=11  deg) is larger than that of a fictive multilayer that reflects light from all incidence directions (BW=90  deg). The results of the analytical model suggest that the deviation of the optimum phase of the mask from π can be explained with a thin absorber model, which includes the double diffraction phenomenon for EUV masks. Of course, the thick absorber contributes to the specific value of Φ as well.

This is confirmed by Fig. 15, which presents simulated diffraction efficiencies and Δ phase between zeroth and first diffraction order versus incident angle and half-pitch (hp). Results of two different modeling approaches are shown. The hybrid model in the top row combines a Kirchhoff-type thin-mask with (total) transmission T=4.9% and phase Φ=225deg with a real Mo/Si multilayer. The results in the bottom row are obtained by fully rigorous simulations for a 50.3-nm-thick absorber with n=0.92 and k=0.032 on the top of the same Mo/Si multilayer. The models predict similar trends of Δ phase versus incident angle and hp, and characteristic drops of diffraction efficiencies for larger incidence angles and smaller half-pitches. The position of these drops is determined by the finite angular support (limited angular range with high reflectivity) of the multilayer.

Fig. 15

Simulations of diffraction efficiencies and Δ phase between zeroth and first diffraction order versus incident angle and half-pitch (hp) for a hybrid mask model (top row) and for fully rigorous diffraction simulation (bottom row). Details about the modeling assumptions and results for other versions of the hybrid and fully rigorous mask model are presented in Mesilhy et al.127

JM3_21_2_020901_f015.png

The difference between the models increases for half-pitches below 11 nm, where waveguide effects become more prominent. Waveguide modes in the spaces of low-n absorbers couple light between neighbored diffraction orders, see discussion of this effect by Mesilhy et al.30

The guidance of light through the openings of low-n absorbers and related waveguide effects introduce another important aspect for the use of attPM in EUV lithography. The simulation example in Fig. 16 demonstrates that the light propagation through small spaces in low-n EUV absorbers is governed by waveguide modes. The analytical result on the left of the figure is obtained by a linear superposition of analytically computed waveguide modes. The difference with respect to the rigorously simulated near-field (RCWA result in the center of figure) is caused by light scattering from top corners of the absorber into non-propagating modes, see Ref. 130 for further discussion of the differences between the analytical model and rigorous RCWA results. The excitation of waveguide modes depends strongly on the illumination direction.

Fig. 16

Downward propagating near-field inside the absorber and the difference between analytically computed modes and the near-field inside the absorber from the RCWA simulation. Slit opening of 8 nm × 4 (in mask scale), TaBN absorber (n=0.95, k=0.031), and incidence angle of 5 deg. Reprint of Fig. 7 from Mesilhy et al.130

JM3_21_2_020901_f016.png

Another important consequence of the guidance of EUV light by low-n absorbers is highlighted in Fig. 17. It exhibits the intensity and phase of reflected near-fields of 11 nm square contacts with a pitch of 22 nm in x and y (wafer scale) for a low-k absorber with two different n. In each case four near-fields are plotted for the illumination directions of an optimized quadrupole illumination. The elongated shapes of near-field plots are a consequence of the used scaling of the mask in an anamorphic system (4× in x and 8× in y).

Fig. 17

Intensity and phase of reflected near-fields of 11 nm square contacts with a pitch of 22 nm in x and y (wafer scale) for a low extinction k=0.02 40-nm-thick absorber with two different n. The near-fields are plotted on mask scale of an anamorphic system for four illumination directions of a quasar illuminator.

JM3_21_2_020901_f017.png

Although both absorbers have the same extinction k, the low-n mask transmits much more light through the opening than its high-n counterpart. The low-n mask shows a much better (near-field) contrast. The advantage of the low-n absorber becomes obvious without consideration of the phase. Of course, the near-field phase of both absorbers looks very different as well. The high-n absorber exhibits only a weak deformation of the phase. The phase inside the openings of the low-n absorber exhibits strong variations versus illumination direction and location on the mask. This variation impacts both the BF and lateral shift of images of individual poles.

The guidance of light through the openings of low-n absorbers can help to make more efficient use of the incident light and to reduce the required exposure dose. This effect is also important for high-k absorbers with a low n. In other words, the refractive index of the absorber material is important for binary EUV masks as well. The more efficient use of light in low-n absorbers adds to the advantages of attPSM in printing bright features with less negative bias compared to binary mask, see discussion in Sec. 2.1. On the other hand, the occurrence of discrete modes and the sensitivity of these modes to feature sizes and directions of the incident light increase the interaction between source shape and mask geometries.

The investigations of observed BF and lateral pattern shifts of low-n absorbers have demonstrated that optical properties and thickness of absorber impact both contrast for individual illumination directions (monopoles) and image shift between different illumination directions. The nTC of monopole images is strongly pitch dependent. The superposition of shifted images with different nTC has a significant impact on BF for various pitches and impact the usable DoF.122 This better understanding of physical effects and their dependency on the absorber material will help to improve materials and SMO methods for low-n absorbers. First comparisons of SMO using different absorbers have already demonstrated the advantages of low-n materials for representative layout designs.131133 Future SMO solutions can be complemented by adaptation of data-efficient artificial intelligence (AI) solutions for alternative absorber materials.134

Figure 18 exhibits the first experimental comparison of the imaging performance of a low-n mask with a Ta-based reference mask. These results demonstrate that the EUV low-n mask improves both local critical dimension (CD) uniformity and dose for dense features.133

Fig. 18

First experimental comparison of the imaging performance of a low-n mask with a Ta-based reference mask. Reprint from the article of van Lare.133

JM3_21_2_020901_f018.png

Further improvements are required to unlock the full potential of attPSM for EUV. Several options have been discussed by van Lare et al.133 Optimization of target and mask bias is very effective for mitigation of focus shifts. Assist features can help to mitigate shifts of the BF position, see Refs. 7, 75, and 126 for further details. The tonality of a mask has an important impact on mask-induced BF shifts as well. In general, bright field masks exhibit less BF shifts than dark field masks.78,135,136 The use of monopole illumination could provide even more drastic improvements.100,135 Alternative absorbers can also offer advantages in combination with EUV dark field lithography.137

5.

Conclusions and Outlook

Comprehensive investigations of attPSM have demonstrated that low-n absorbers can help to push high NA EUV lithography into the low k1 regime. Well-designed attPSM offer improved NILS and dose benefits.122 The imaging performance gain of low-n absorber masks is application-dependent.101

An in-depth understanding of the imaging physics and combinations with other imaging enhancements are required to play this “wild card” resolution enhancement for EUV lithography. The imaging physics of attPSM for EUV lithography differs significantly from attPSM imaging in DUV lithography. The double diffraction from the absorber, the reflection characteristics of the multilayer blank, and the guidance of light through the openings in a low-n absorber introduce important effects that need to be considered in the design and use of attPSM for EUV lithography. These effects include lateral shifts of images, which are created by different parts of the source, and pitch dependent BF shifts of the image that is created by the complete source. The more pronounced dependency of the light diffraction from the illumination direction makes SMO more challenging. Further enhancements like single pole illumination, assist features for the mitigation of BF shifts, and special forms of dark field imaging can help to push EUV imaging to its ultimate limit. The refractive index of the absorber material is important for binary masks as well. The discussion of low-n absorbers in this review includes both “traditional” attPSM for EUV and low reflectivity absorbers, which exploit the guidance of light inside patterned layers. AttPSM configurations, which involve etched multilayers (see Fig. 9), could offer long-term solutions with additional design options.

The special imaging effects of attPSM for EUV are well described by established rigorous methods for the computation of light diffraction and image formation. Hybrid mask models and other forms of simplified imaging models (as described in Sec. 4.2) are helpful to understand the root causes of the observed effects and to identify the most promising design strategies. A design of attPSM that is based on the phase and reflectivity of thin film absorbers only cannot provide the best solution. It is important to use the optical properties (n and k) and the thickness of the absorber as design parameters. The experimentally demonstrated good imaging performance of first prototype low-n masks provides a solid basis for further improvements. From theoretical point of view, a low-n absorber with a tunable extinction coefficient k would provide the highest flexibility for adaptation to different target layouts.

The discussions in this review are focused on fundamental investigations of the imaging performance of attPSM solutions for EUV lithography. For other important considerations on the manufacturing, experimental characterization, inspection, repair and use of the mask, the reader is referred to the cited literature, especially Refs. 92, 138139.140.141. The introduction of attPSM into manufacturing will require careful monitoring of additional imaging aspects such as stochastic side-lobe printing for various use cases142145 and polychromatic effects.129

Acknowledgments

A part of this work in the TAPES3 project has received funding from the Electronic Component Systems for European Leadership Undertaking under grant agreement number 783247. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, and Israel. The authors would like to thank ASML and Zeiss SMT for financial support of related research activities at the computational lithography and optics group of Fraunhofer IISB. Special thanks to Gerardo Bottiglieri, Tim Brunner, Mark van de Kerkhoff, Claire van Lare, Eelco van Setten (ASML), Simon Bihr (Zeiss SMT), Vicky Philipsen (imec), and Zelalem Belete (Fraunhofer IISB) for many helpful discussions in the joint exploration of alternative absorbers for EUV lithography and for the helpful comments on the manuscript.

References

1. 

A. K.-K. Wong, Resolution Enhancement Techniques in Optical Lithography, SPIE Press, Bellingham, Washington (2001). Google Scholar

2. 

A. Erdmann, Optical and EUV Lithography: A Modeling Perspective, 1st ed.SPIE Press, Bellingham, Washington (2021). Google Scholar

3. 

K. Lai, “Review of computational lithography modeling: focusing on extending optical lithography and design-technology co-optimization,” Adv. Opt. Technol., 1 249 –267 (2012). https://doi.org/10.1515/aot-2012-0037 1687-6393 Google Scholar

4. 

L. Pang, “Inverse lithography technology: 30 years from concept to practical, full-chip reality,” J. Micro/Nanopatterning, Mater. Metrol., 20 (3), 030901 (2021). https://doi.org/10.1117/1.JMM.20.3.030901 Google Scholar

5. 

D. De Simone, Y. Vesters and G. Vandenberghe, “Photoresists in extreme ultraviolet lithography (EUVL),” Adv. Opt. Technol., 6 163 –172 (2017). https://doi.org/10.1515/aot-2017-0021 1687-6393 Google Scholar

6. 

J. G. Santaclara et al., “One metric to rule them all: new k4 definition for photoresist characterization,” Proc. SPIE, 11323 113231A (2020). https://doi.org/10.1117/12.2554493 PSISDG 0277-786X Google Scholar

7. 

S. Hsu et al., “EUV resolution enhancement techniques (RET) for k1 0.4 and below,” Proc. SPIE, 9422 94221I (2015). https://doi.org/10.1117/12.2086074 PSISDG 0277-786X Google Scholar

8. 

J. Finders and C. Wagner, “Imaging enhancement (low k1 imaging) in EUV lithography: current status and future resolution enhancement techniques,” Proc. SPIE, 11609 1160909 (2021). https://doi.org/10.1117/12.2584805 PSISDG 0277-786X Google Scholar

9. 

J. Zimmermann et al., “Flexible illumination for ultra-fine resolution with 0.33 NA EUV lithography,” in Int. Symp. Extreme Ultraviolet Lithogr., (2016). Google Scholar

10. 

H. Kang, “Novel assist feature design to improve depth of focus in low k1 EUV lithography,” Proc. SPIE, 7520 752037 (2009). https://doi.org/10.1117/12.849556 PSISDG 0277-786X Google Scholar

11. 

I. Mochi et al., “Assist features: placement, impact, and relevance for EUV imaging,” Proc. SPIE, 9776 97761S (2016). https://doi.org/10.1117/12.2220025 PSISDG 0277-786X Google Scholar

12. 

S. D. Hsu and J. Liu, “Challenges of anamorphic high-NA lithography and mask making,” Adv. Opt. Technol., 6 293 –310 (2017). https://doi.org/10.1515/aot-2017-0024 1687-6393 Google Scholar

13. 

P. Y. Yan, “EUVL alternating phase shift mask imaging evaluation,” Proc. SPIE, 4889 1099 (2002). https://doi.org/10.1117/12.468103 PSISDG 0277-786X Google Scholar

14. 

M. Sugawara et al., “Alternating phase shift mask in extreme ultra violet lithography,” Jpn. J. Appl. Phys., 42 3776 –3783 (2003). https://doi.org/10.1143/JJAP.42.3776 Google Scholar

15. 

S. Sherwin, A. Neureuther and P. Naulleau, “Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks,” J. Micro/Nanolithogr., MEMS, MOEMS, 16 (4), 041012 (2017). https://doi.org/10.1117/1.JMM.16.4.041012 Google Scholar

16. 

A. Erdmann et al., “Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?,” J. Micro/Nanolithogr., MEMS, MOEMS, 18 (1), 011005 (2018). https://doi.org/10.1117/1.JMM.18.1.011005 Google Scholar

17. 

C. van Lare, F. Timmermans and J. Finders, “Mask absorber optimization: the next phase,” J. Micro/Nanolithogr., MEMS, MOEMS, 19 (2), 024401 (2020). https://doi.org/10.1117/1.JMM.19.2.024401 Google Scholar

18. 

M. Burkhardt et al., “Investigation of mask absorber induced image shift in EUV lithography,” Proc. SPIE, 10957 1095710 (2019). https://doi.org/10.1117/12.2515365 PSISDG 0277-786X Google Scholar

19. 

Y. Ku et al., “Use of a pi-phase shifting x-ray mask to increase the intensity slope at feature edges,” J. Vac. Sci. Technol. B: Microelectron. Process. Phenom., 6 (1), 150 –153 (1988). https://doi.org/10.1116/1.584034 Google Scholar

20. 

T. Terasawa et al., “Imaging characteristics of multi-phase-shifting and halftone phase-shifting masks,” Jpn. J. Appl. Phys., 30 2991 –2997 (1991). https://doi.org/10.1143/JJAP.30.2991 Google Scholar

21. 

B. J. Lin, “The attenuated phase-shifting mask,” Solid State Technol., 35 43 –47 (1992). SSTEAP 0038-111X Google Scholar

22. 

P. D. Buck and M. L. Rieger, “Phase-shift mask applications,” Proc. SPIE, 1463 218 (1991). https://doi.org/10.1117/12.44806 PSISDG 0277-786X Google Scholar

23. 

N. Singh and M. Mukherjee-Roy, “Effect of feature size, pitch, and resist sensitivity on side-lobe and ring formation for via hole patterning in attenuated phase-shift masks,” Proc. SPIE, 4691 1054 –1061 (2002). https://doi.org/10.1117/12.474484 PSISDG 0277-786X Google Scholar

24. 

H. Iwasaki et al., “Attenuated phase-shift masks reducing side-lobe effect in DRAM peripheral circuit region,” Proc. SPIE, 3236 544 –550 (1997). https://doi.org/10.1117/12.301229 PSISDG 0277-786X Google Scholar

25. 

H. J. Lee, M.-Y. Lee and J.-H. Lee, “Suppression of sidelobe and overlap error in AttPSM metal layer lithography using rule-based OPC,” Proc. SPIE, 5377 1112 –1120 (2004). https://doi.org/10.1117/12.534484 PSISDG 0277-786X Google Scholar

26. 

B. W. Smith, “Mutual optimization of resolution enhancement techniques,” J. Micro/Nanolithogr., MEMS, MOEMS, 1 (2), 95 (2002). https://doi.org/10.1117/1.1450596 Google Scholar

27. 

S. Ito et al., “Optimization of optical properties for single-layer halftone masks,” Proc. SPIE, 2197 99 –110 (1994). https://doi.org/10.1117/12.175486 PSISDG 0277-786X Google Scholar

28. 

K. G. Ronse et al., “Comparison of various phase-shift strategies and application to 0.35  μm ASIC designs,” Proc. SPIE, 1927 2 (1993). https://doi.org/10.1117/12.150416 PSISDG 0277-786X Google Scholar

29. 

A. Ogura et al., “Development of practical attenuated phase-shifting mask,” Proc. SPIE, 2254 275 –285 (1994). https://doi.org/10.1117/12.191939 PSISDG 0277-786X Google Scholar

30. 

N. Yoshioka et al., “Practical attenuated phase-shifting mask with a single-layer absorptive shifter of MoSiO and MoSiON for ULSI fabrication,” in Proc. IEEE Int. Electron Devices Meeting, 653 –656 (1993). Google Scholar

31. 

R. Jonckheere et al., “Molybdenum silicide based attenuated phase-shift masks,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom., 12 (6), 3765 –3772 (1994). https://doi.org/10.1116/1.587439 Google Scholar

32. 

Y. Saito et al., “Attenuated phase-shift mask blanks with oxide or oxinitride of Cr or MoSi absorptive shifter,” Proc. SPIE, 2254 60 –63 (1994). https://doi.org/10.1117/12.191962 PSISDG 0277-786X Google Scholar

33. 

S. Butt, “Materials for attenuated phase shift mask application at 193nm,” (1997). Google Scholar

34. 

S. Kanai et al., “Development of a MoSi-based bilayer HT-PSM blank for ArF lithography,” Proc. SPIE, 4186 846 –852 (2001). https://doi.org/10.1117/12.410767 Google Scholar

35. 

N. Kachwala, “High-transmission attenuated PSM as a viable optical extension technique,” Proc. SPIE, 4346 806 –816 (2001). https://doi.org/10.1117/12.435781 Google Scholar

36. 

H. Shishido et al., “Precision phase angle control with transmissive etching stop film,” Proc. SPIE, 11855 118550O (2021). https://doi.org/10.1117/12.2600852 Google Scholar

37. 

N. Yonemaru et al., “Effects of mask pattern transmission on ArF lithographic performance in contact hole patterning,” J. Micro/Nanopatterning, Mater. Metrol., 20 (1), 014401 (2021). https://doi.org/10.1117/1.JMM.20.1.014401 Google Scholar

38. 

Handbook of Photomask Manufacturing Technology, 1st ed.Taylor & Francis, Boca Raton, Florida (2005). Google Scholar

39. 

B. W. Smith and S. Turgut, “Phase-shift mask issues for 193-nm lithography,” Proc. SPIE, 2197 201 –210 (1994). https://doi.org/10.1117/12.175414 Google Scholar

40. 

S.-K. Tan et al., “Impact of transmission error for attenuated phase-shift mask for 0.10-μm technology,” Proc. SPIE, 4691 1062 (2002). https://doi.org/10.1117/12.474485 PSISDG 0277-786X Google Scholar

41. 

C. A. Mack, M. D. Smith and T. Graves, “The impact of attenuated phase shift mask topography on hyper-NA lithography,” Proc. SPIE, 5992 59920Z (2005). https://doi.org/10.1117/12.632510 Google Scholar

42. 

A. K. K. Wong, A. R. Neureuther and R. A. Ferguson, “Phase-shifter edge effects on attenuated phase-shifting mask image quality,” Proc. SPIE, 2197 122 (1994). https://doi.org/10.1117/12.175406 PSISDG 0277-786X Google Scholar

43. 

R. A. Ferguson et al., “Impact of attenuated mask topography on lithographic performance,” Proc. SPIE, 2197 130 (1994). https://doi.org/10.1117/12.175407 Google Scholar

44. 

A. K.-K. Wong et al., “Focus shift and process latitude of contact holes on attenuated phase-shifting masks,” Proc. SPIE, 2440 472 (1995). https://doi.org/10.1117/12.209278 Google Scholar

45. 

A. Erdmann, “Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects,” Proc. SPIE, 5835 69 (2005). https://doi.org/10.1117/12.637285 Google Scholar

46. 

A. Erdmann and P. Evanschitzky, “Rigorous electromagnetic field mask modeling and related lithographic effects in the low k1 and ultrahigh NA regime,” J. Micro/Nanolithogr., MEMS, MOEMS, 6 (3), 031002 (2007). https://doi.org/10.1117/1.2778447 Google Scholar

47. 

A. Estroff et al., “Mask-induced polarization effects at high numerical aperture,” J. Micro/Nanolithogr., MEMS, MOEMS, 4 (3), 031107 (2005). https://doi.org/10.1117/1.2037507 Google Scholar

48. 

T. Sato et al., “Impact of polarization on an attenuated phase shift mask with ArF hyper-numerical aperture lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 5 (4), 043001 (2006). https://doi.org/10.1117/1.2397065 Google Scholar

49. 

N. Yamamoto, J. Kye and H. J. Levinson, “Mask topography effect with polarization at hyper NA,” Proc. SPIE, 6154 61544F (2006). https://doi.org/10.1117/12.657155 Google Scholar

50. 

A. Erdmann, “Topography effects and wave aberrations in advanced PSM-technology,” Proc. SPIE, 4346 345 –355 (2001). https://doi.org/10.1117/12.435734 PSISDG 0277-786X Google Scholar

51. 

J. Ruoff et al., “Polarization induced astigmatism caused by topographic masks,” Proc. SPIE, 6730 67301T (2007). https://doi.org/10.1117/12.747039 PSISDG 0277-786X Google Scholar

52. 

A. Erdmann et al., “Mask topography induced phase effects and wave aberrations in optical and extreme ultraviolet lithography,” J. Vac. Sci. Technol. B, 28 C6J1 (2010). https://doi.org/10.1116/1.3497024 JVTBD9 1071-1023 Google Scholar

53. 

A. Shanker et al., “Analysis of edge effects in attenuating phase shift masks using quantitative phase imaging,” Proc. SPIE, 8880 88802A (2013). https://doi.org/10.1117/12.2027825 PSISDG 0277-786X Google Scholar

54. 

K. Bubke et al., “Investigation of polarization effects on new mask materials,” Proc. SPIE, 5754 587 –598 (2005). https://doi.org/10.1117/12.599688 PSISDG 0277-786X Google Scholar

55. 

M. Yoshizawa, V. Philipsen and L. H. A. Leunissen, “Optimizing absorber thickness of attenuating phase-shifting masks for hyper-NA lithography,” Proc. SPIE, 6154 61541E (2006). https://doi.org/10.1117/12.659823 PSISDG 0277-786X Google Scholar

56. 

M. Cangemi et al., “Feasibility study of embedded binary masks,” Proc. SPIE, 6349 63490L (2006). https://doi.org/10.1117/12.686290 PSISDG 0277-786X Google Scholar

57. 

L. Yang, Y. Li and L. Liu, “Polarization effects induced by the bi-layer attenuated phase-shift mask and their impacts on near-field distribution,” Optik, 124 6261 (2013). https://doi.org/10.1016/j.ijleo.2013.05.012 OTIKAJ 0030-4026 Google Scholar

58. 

A. Erdmann et al., “The impact of the mask stack and its optical parameters on the imaging performance,” Proc. SPIE, 6520 65201I (2007). https://doi.org/10.1117/12.709351 PSISDG 0277-786X Google Scholar

59. 

G. McIntyre et al., “Lithographic qualification of new opaque MoSi binary mask blank for the 32-nm node and beyond,” J. Micro/Nanolithogr., MEMS, MOEMS, 9 (1), 013010 (2010). https://doi.org/10.1117/1.3295712 Google Scholar

60. 

Y. Liu et al., “Focus shift impacted by mask 3D and comparison between Att. PSM and OMOG,” Proc. SPIE, 9426 94261H (2015). https://doi.org/10.1117/12.2085659 PSISDG 0277-786X Google Scholar

61. 

A. Erdmann et al., “Perspectives and tradeoffs of novel absorber materials for high NA EUV lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 19 (4), 041001 (2020). https://doi.org/10.1117/1.JMM.19.4.041001 Google Scholar

62. 

J. Ahn, C.-U. Jeon, “EUV mask and mask metrology,” EUV Lithography, 2nd ed.SPIE Press, Bellingham, Washington (2018). Google Scholar

63. 

O. Wood et al., “Improved Ru/Si multilayer reflective coatings for advanced extreme-ultraviolet lithography photomasks,” Proc. SPIE, 9776 977619 (2016). https://doi.org/10.1117/12.2219215 PSISDG 0277-786X Google Scholar

64. 

H. Mesilhy et al., “Pathfinding the perfect EUV mask: the role of the multilayer,” Proc. SPIE, 11323 1132316 (2020). https://doi.org/10.1117/12.2551870 PSISDG 0277-786X Google Scholar

65. 

E. van Setten et al., “Multilayer optimization for high-NA EUV mask3D suppression,” Proc. SPIE, 11517 115170Y (2020). https://doi.org/10.1117/12.2574450 PSISDG 0277-786X Google Scholar

66. 

A. Erdmann et al., “3D mask effects in high NA EUV imaging,” Proc. SPIE, 10957 109570Z (2019). https://doi.org/10.1117/12.2515678 PSISDG 0277-786X Google Scholar

67. 

B. L. Henke, E. M. Gullikson and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E = 50-30000 eV, Z = 1-92,” At. Data Nucl. Data Tables, 54 181 –342 (1993). https://doi.org/10.1006/adnd.1993.1013 ADNDAT 0092-640X Google Scholar

68. 

L. Neim, B. W. Smith and G. Fenger, “EUV mask polarization effects on sub-7 nm node imaging,” Proc. SPIE, 11323 1132314 (2020). https://doi.org/10.1117/12.2553232 PSISDG 0277-786X Google Scholar

69. 

L. Bilalaj, H. Mesilhy and A. Erdmann, “Simulation study on EUV multilayer polarization effects,” Proc. SPIE, 11875 118750L (2021). https://doi.org/10.1117/12.2599904 PSISDG 0277-786X Google Scholar

70. 

K. Otaki, “Asymmetric properties of the aerial image in extreme ultraviolet lithography,” Jpn. J. Appl. Phys., 39 6819 (2000). https://doi.org/10.1143/JJAP.39.6819 Google Scholar

71. 

J. T. Neumann et al., “Imaging performance of EUV lithography optics configuration for sub-9nm resolution,” Proc. SPIE, 9422 94221H (2015). https://doi.org/10.1117/12.2175658 PSISDG 0277-786X Google Scholar

72. 

J. van Schoot et al., “High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond,” J. Micro/Nanolithogr., MEMS, MOEMS, 16 (4), 041010 (2017). https://doi.org/10.1117/1.JMM.16.4.041010 Google Scholar

73. 

S. Raghunathan et al., “Mask 3D effects and compensation for high NA EUV lithography,” Proc. SPIE, 8679 867918 (2013). https://doi.org/10.1117/12.2011643 PSISDG 0277-786X Google Scholar

74. 

J. Finders, L. de Winter and T. Last, “Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 15 (2), 021408 (2016). https://doi.org/10.1117/1.JMM.15.2.021408 Google Scholar

75. 

A. Erdmann et al., “Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,” Adv. Opt. Technol., 6 187 –201 (2017). https://doi.org/10.1515/aot-2017-0019 1687-6393 Google Scholar

76. 

J. Finders and J. Galvier, “Mask 3D induced phase and the mitigation by absorber optimization,” Proc. SPIE, 9426 942605 (2015). https://doi.org/10.1117/12.2178288 PSISDG 0277-786X Google Scholar

77. 

S.-S. Yu et al., “Limitation of OAI + AttPSM in EUVL,” Proc. SPIE, 8679 86791L (2013). https://doi.org/10.1117/12.2010943 PSISDG 0277-786X Google Scholar

78. 

A. Erdmann et al., “Mask-induced best-focus shifts in deep ultraviolet and extreme ultraviolet lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 15 (2), 021205 (2016). https://doi.org/10.1117/1.JMM.15.2.021205 Google Scholar

79. 

L. de Winter et al., “Understanding the litho-impact of phase due to {3D} mask effects when using off-axis illumination,” Proc. SPIE, 9661 96610A (2015). https://doi.org//10.1117/12.2195469 PSISDG 0277-786X Google Scholar

80. 

V. Philipsen et al., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” J. Micro/Nanolithogr., MEMS, MOEMS, 16 (4), 041002 (2017). https://doi.org/10.1117/1.JMM.16.4.041002 Google Scholar

81. 

F. J. Timmermans et al., “Alternative absorber materials for mitigation of mask 3D effects in high NA EUV lithography,” Proc. SPIE, 10775 107750U (2018). https://doi.org/10.1117/12.2326805 PSISDG 0277-786X Google Scholar

82. 

A. Erdmann et al., “Modeling studies on alternative EUV mask concepts for higher NA,” Proc. SPIE, 8679 86791Q (2013). https://doi.org/10.1117/12.2011432 PSISDG 0277-786X Google Scholar

83. 

F. Jiang et al., “Implementation of assist features in EUV lithography,” Proc. SPIE, 9422 94220U (2015). https://doi.org/10.1117/12.2085946 PSISDG 0277-786X Google Scholar

84. 

L. Van Look et al., “Mask 3D effect mitigation by source optimization and assist feature placement,” in Int. Symp. Extreme Ultraviolet Lithogr., (2016). Google Scholar

85. 

T. Last et al., “Illumination pupil optimization in 0.33-NA extreme ultraviolet lithography by intensity balancing for semi-isolated dark field two-bar M1 building blocks,” J. Micro/Nanolithogr., MEMS, MOEMS, 15 (4), 043508 (2016). https://doi.org/10.1117/1.JMM.15.4.043508 Google Scholar

86. 

V. Luong et al., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci., 8 (4), 521 (2018). https://doi.org/10.3390/app8040521 Google Scholar

87. 

V. Luong et al., “Assessing stability of metal tellurides as alternative photomask materials for extreme ultraviolet lithography,” J. Vac. Sci. Technol. B, 37 (6), 61607 (2019). https://doi.org/10.1116/1.5125662 JVTBD9 1071-1023 Google Scholar

88. 

M. Wu et al., “Mask absorber for next generation EUV lithography,” J. Micro/Nanolithogr., MEMS, MOEMS, 20 (2), 021002 (2021). https://doi.org/10.1117/1.JMM.20.2.021002 Google Scholar

89. 

R. Sejpal and B. Smith, “Identifying extreme ultraviolet lithography attenuated phase shifting mask absorber materials using effective media approximation modeling,” J. Vac. Sci. Technol. B, 39 (6), 62604 (2021). https://doi.org/10.1116/6.0001298 JVTBD9 1071-1023 Google Scholar

90. 

F. Scholze et al., “Update on optical material properties for alternative EUV mask absorber materials,” Proc. SPIE, 10446 1044609 (2017). https://doi.org/10.1117/12.2279702 PSISDG 0277-786X Google Scholar

91. 

R. Ciesielski et al., “Determination of optical constants of thin films in the EUV,” Optica, 61 2060 –2078 (2022). https://doi.org/10.1364/AO.447152 Google Scholar

92. 

V. Philipsen et al., “Novel EUV mask absorber evaluation in support of next-generation EUV imaging,” Proc. SPIE, 10810 108100C (2018). https://doi.org/10.1117/12.2501799 PSISDG 0277-786X Google Scholar

93. 

J. Stortelder et al., “First results of EUV-scanner compatibility tests performed on novel ’high-NA’ reticle absorber materials,” Proc. SPIE, 11854 1185414 (2021). https://doi.org/10.1117/12.2600928 PSISDG 0277-786X Google Scholar

94. 

T. Matsuo, K. Kanayama and Y. Okumoto, “Novel absorber materials for EUV lithography mask,” Proc. SPIE, 7379 73792G (2009). https://doi.org/10.1117/12.824330 PSISDG 0277-786X Google Scholar

95. 

A. Rastegar et al., “Study of alternative capping and absorber layers for Extreme Ultraviolet (EUV) masks for sub-16 nm half-pitch nodes,” Proc. SPIE, 9048 90480L (2014). https://doi.org/10.1117/12.2048074 PSISDG 0277-786X Google Scholar

96. 

D. Hay et al., “Thin absorber extreme ultraviolet photomask based on Ni-TaN nanocomposite material,” Opt. Lett., 41 3791 –3794 (2016). https://doi.org/10.1364/OL.41.003791 OPLEDP 0146-9592 Google Scholar

97. 

Y. Ikebe et al., “Investigation of alternative absorbers for extreme ultraviolet mask blanks,” J. Micro/Nanolithogr., MEMS, MOEMS, 16 (4), 041006 (2017). https://doi.org/10.1117/1.JMM.16.4.041006 Google Scholar

98. 

S. Fernandez et al., “Comparative study of extreme ultraviolet absorber materials using lensless actinic imaging,” J. Micro/Nanolithogr., MEMS, MOEMS, 18 (1), 013506 (2019). https://doi.org/10.1117/1.JMM.18.1.013506 Google Scholar

99. 

J. Finders et al., “Experimental investigation of a high-k reticle absorber system for EUV lithography,” Proc. SPIE, 10957 1095714 (2019). https://doi.org/10.1117/12.2515496 PSISDG 0277-786X Google Scholar

100. 

M. Burkhardt, “Investigation of alternate mask absorbers in EUV lithography,” Proc. SPIE, 10143 1014312 (2017). https://doi.org/10.1117/12.2258266 PSISDG 0277-786X Google Scholar

101. 

F. J. Timmermans, C. van Lare and J. Finders, “Alternative mask materials for low-k1 EUV imaging,” Proc. SPIE, 11177 111470D (2019). https://doi.org/10.1117/12.2536415 PSISDG 0277-786X Google Scholar

102. 

H. Tanabe, “Classification of EUV masks based on the ratio of the complex refractive index k/(1-n),” Proc. SPIE, 11854 1185416 (2021). https://doi.org/10.1117/12.2601875 PSISDG 0277-786X Google Scholar

103. 

K. B. Nguyen et al., “Effects of absorber topography and multilayer coating defects on reflective masks for soft x-ray/EUV projection lithography,” Proc. SPIE, 1924 418 –434 (1993). https://doi.org/10.1117/12.146524 PSISDG 0277-786X Google Scholar

104. 

O. R. Wood et al., “Use of attenuated phase masks in extreme ultraviolet lithography,” J. Vac. Sci. Technol. B, 15 2448 (1997). https://doi.org/10.1116/1.589664 JVTBD9 1071-1023 Google Scholar

105. 

B. Lüttgenau et al., “Novel high-contrast phase-shifting masks for EUV interference lithography,” Proc. SPIE, 11323 113231Q (2020). https://doi.org/10.1117/12.2551856 PSISDG 0277-786X Google Scholar

106. 

T. Kamo et al., “Impact of mask absorber properties on printability in EUV lithography,” Proc. SPIE, 6730 673017 (2007). https://doi.org/10.1117/12.746550 PSISDG 0277-786X Google Scholar

107. 

H. Tanabe et al., “Phase-shifting effect of thin-absorber {EUV} masks,” Proc. SPIE, 8166 816618 (2011). https://doi.org/10.1117/12.895149 PSISDG 0277-786X Google Scholar

108. 

C. Y. Jeong et al., “The study of attenuated PSM structure for extreme ultraviolet lithography with minimized mask shadowing effect,” Proc. SPIE, 6921 69213Q (2008). https://doi.org/10.1117/12.772533 Google Scholar

109. 

Y. Deng et al., “Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging,” Proc. SPIE, 5037 302 –313 (2003). https://doi.org/10.1117/12.484986 PSISDG 0277-786X Google Scholar

110. 

M. Sugawara, A. Chiba and I. Nishiyama, “Phase-shift mask in EUV lithography,” Proc. SPIE, 5037 850 –859 (2003). https://doi.org/10.1117/12.484433 PSISDG 0277-786X Google Scholar

111. 

S.-I. Han et al., “Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror,” Proc. SPIE, 5037 314 (2003). https://doi.org/10.1117/12.484731 PSISDG 0277-786X Google Scholar

112. 

C. Constancias et al., “Phase-shift mask for EUV lithography,” Proc. SPIE, 6151 61511W (2006). https://doi.org/10.1117/12.655583 PSISDG 0277-786X Google Scholar

113. 

S.-I. Han et al., “Development of phase shift masks for extreme ultraviolet lithography and optical evaluation of phase shift materials,” Proc. SPIE, 5374 261 –270 (2004). https://doi.org/10.1117/12.535503 PSISDG 0277-786X Google Scholar

114. 

S. Y. Lee et al., “Combined absorber stack for optimization of the EUVL mask,” Proc. SPIE, 6151 61511Y (2006). https://doi.org/10.1117/12.656928 PSISDG 0277-786X Google Scholar

115. 

H. D. Shin et al., “Effect of attenuated phase shift structure on extreme ultraviolet lithography,” Jpn. J. Appl. Phys., 48 06FA06 (2009). https://doi.org/10.1143/JJAP.48.06FA06 Google Scholar

116. 

Y. Ikebe, O. Nozawa and T. Onoue, “Development of high reflective phase shift type absorber for future generation EUV mask blank (conference presentation),” Proc. SPIE, 11323 1132311 (2020). https://doi.org/10.1117/12.2550941 PSISDG 0277-786X Google Scholar

117. 

I. Fukasawa et al., “EUV attenuated phase shift mask: development and characterization of mask properties,” Proc. SPIE, 11855 118550N (2021). https://doi.org/10.1117/12.2606239 PSISDG 0277-786X Google Scholar

118. 

S.-I. Han et al., “Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection,” Proc. SPIE, 4688 481 (2002). https://doi.org/10.1117/12.472324 PSISDG 0277-786X Google Scholar

119. 

P.-Y. Yan, I. Mochi and K. Goldberg, “EUV actinic imaging tool aerial image evaluation of EUVL embedded phase shift mask performance,” Proc. SPIE, 8322 83221P (2012). https://doi.org/10.1117/12.919710 PSISDG 0277-786X Google Scholar

120. 

P. Y. Yan et al., “Extreme ultraviolet embedded phase shift mask,” J. Micro/Nanolithogr., MEMS, MOEMS, 10 (3), 033011 (2011). https://doi.org/10.1117/1.3616060 Google Scholar

121. 

J. U. Lee, S. Hong and J. Ahn, “Very thin extreme ultraviolet mask absorber material for extremely fine pitch patterning,” Appl. Phys. Express, 6 (7), 76502 (2013). https://doi.org/10.7567/APEX.6.076502 APEPC4 1882-0778 Google Scholar

122. 

M.-C. van Lare, F. J. Timmermans and J. Finders, “Alternative reticles for low-k1 EUV imaging,” Proc. SPIE, 11147 111470D (2019). https://doi.org/10.1117/12.2536415 PSISDG 0277-786X Google Scholar

123. 

I.-H. Kang et al., “Optimal phase shift mask and multilayer stack with the evaluation of imaging performance and process latitude in extreme ultraviolet high numerical aperture,” Jpn. J. Appl. Phys., 60 SCCA04 (2021). https://doi.org/10.35848/1347-4065/abf2d1 Google Scholar

124. 

I.-H. Kang et al., “Optimal phase shift and reflectance for high numerical aperture EUV phase shift mask,” Proc. SPIE, 11855 118550P (2021). https://doi.org/10.1117/12.2602035 PSISDG 0277-786X Google Scholar

125. 

S.-S. Yu et al., “On the extensibility of extreme UV lithography,” Proc. SPIE, 7969 79693A (2011). https://doi.org/10.1117/12.881586 PSISDG 0277-786X Google Scholar

126. 

M. Burkhardt and A. Raghunathan, “Best focus shift mechanism for thick masks,” Proc. SPIE, 9422 94220X (2015). https://doi.org/10.1117/12.2085948 PSISDG 0277-786X Google Scholar

127. 

H. M. S. Mesilhy et al., “Pathfinding the perfect EUV mask: understanding the EUV mask using the hybrid mask model,” Proc. SPIE, 11854 118540U (2021). https://doi.org/10.1117/12.2601243 PSISDG 0277-786X Google Scholar

128. 

P. Evanschitzky and A. Erdmann, “Advanced EUV mask and imaging modeling,” J. Micro/Nanolithogr., MEMS, MOEMS, 16 (4), 041005 (2017). https://doi.org/10.1117/1.JMM.16.4.041005 Google Scholar

129. 

A. Erdmann et al., “Simulation of polychromatic effects in high NA EUV lithography,” Proc. SPIE, 11854 1185405 (2021). https://doi.org/10.1117/12.2600931 PSISDG 0277-786X Google Scholar

130. 

H. M. S. Mesilhy et al., “Investigation of waveguide modes in EUV mask absorbers,” J. Micro/Nanopatterning, Mater., Metrol., 20 (2), 021004 (2021). https://doi.org/10.1117/1.JMM.20.2.021004 Google Scholar

131. 

W. Gao et al., “Simulation investigation of enabling technologies for EUV single exposure of Via patterns in 3 nm logic technology,” Proc. SPIE, 11323 113231L (2020). https://doi.org/10.1117/12.2552888 PSISDG 0277-786X Google Scholar

132. 

D. Xu et al., “Exploration of alternative mask for 0.33 NA EUV single patterning at pitch 28 nm,” Proc. SPIE, 11854 118540T (2021). https://doi.org/10.1117/12.2599054 PSISDG 0277-786X Google Scholar

133. 

M.-C. van Lare et al., “Optimizations aspects for EUV low-k1 logic with the low-n mask,” Proc. SPIE, 11854 1185412 (2021). https://doi.org/10.1117/12.2600998 PSISDG 0277-786X Google Scholar

134. 

A. Awad et al., “Data-effcient deep learning for imaging with novel EUV mask absorbers,” in SPIE Adv. Lithogr., (2022). Google Scholar

135. 

J.-H. Franke et al., “Metal layer single EUV expose at pitch 28 nm: how bright field and NTD resist advantages align,” Proc. SPIE, 11609 116090R (2021). https://doi.org/10.1117/12.2584733 PSISDG 0277-786X Google Scholar

136. 

H. Mesilhy et al., “EUV mask absorber induced best focus shift,” in SPIE Adv. Lithogr., (2022). Google Scholar

137. 

T. A. Brunner et al., “EUV dark field lithography: extreme resolution by blocking 0th order,” Proc. SPIE, 11609 1160906 (2021). https://doi.org/10.1117/12.2582751 PSISDG 0277-786X Google Scholar

138. 

M. Wu et al., “Study of novel EUVL mask absorber candidates,” J. Micro/Nanopatterning, Mater., Metrol., 20 (2), 021002 (2021). https://doi.org/10.1117/1.JMM.20.2.021002 Google Scholar

139. 

R. H. Miyakawa et al., “Quantitative phase imaging of EUV masks,” Proc. SPIE, 11323 113231H (2020). https://doi.org/10.1117/12.2553133 PSISDG 0277-786X Google Scholar

140. 

T. Heil et al., “Pushing the limits of EUV mask repair: addressing sub-10 nm defects with the next generation e-beam-based mask repair tool,” J. Micro/Nanopatterning, Mater., Metrol., 20 (3), 031013 (2021). https://doi.org/10.1117/1.JMM.20.3.031013 Google Scholar

141. 

P. Hudek et al., “Multi-beam mask writer exposure optimization for EUV mask stacks,” J. Micro/Nanopatterning, Mater., Metrol., 20 (4), 041402 (2021). https://doi.org/10.1117/1.JMM.20.4.041402 Google Scholar

142. 

A. Burov et al., “Stochastic side-lobe printing in EUV lithography: a simulation study,” J. Micro/Nanopatterning, Mater., Metrol., 20 (3), 031009 (2021). https://doi.org/10.1117/1.JMM.20.3.031009 Google Scholar

143. 

C.-N. Ahn et al., “Optical design of EUV attenuated PSM for contact-hole applications,” Proc. SPIE, 11609 116090D (2021). https://doi.org/10.1117/12.2583462 PSISDG 0277-786X Google Scholar

144. 

C. van Lare et al., “Investigation into a prototype extreme ultraviolet low-n attenuated phase-shift mask,” J. Micro/Nanopatterning, Mater., Metrol., 20 (2), 021006 (2021). https://doi.org/10.1117/1.JMM.20.2.021006 Google Scholar

145. 

L. S. Melvin and R. Jonckheere, “Contribution of mask defectivity in stochastics of EUVL-based wafer printing,” J. Micro/Nanopatterning, Mater., Metrol., 20 (2), 021003 (2021). https://doi.org/10.1117/1.JMM.20.2.021003 Google Scholar

Biography

Andreas Erdmann is the head of the Fraunhofer IISB Computational Lithography and Optics Group and teaches as “Privatdozent” at the University of Erlangen. He has more than 25 years of experience in optical and EUV lithography. He chaired several SPIE conferences and is an organizer of the International Fraunhofer Lithography Simulation Workshop. He contributed to the development of several advanced lithography simulators including the development and research lithography simulator Dr. LiTHO. He is a fellow of SPIE.

Hazem Mesilhy is a PhD student at Fraunhofer IISB Computational Lithography and Optics Group. He received his master’s degree in advanced optical technologies from Friedrich-Alexander University Erlangen-Nürnberg. He received his bachelor’s degree from Cairo University, Faculty of Engineering, Electronics and Electrical Communication Department. His research domain involves the simulation of the lithographic process and the optimization of the process parameters using multi-objective optimization techniques.

Peter Evanschitzky received his PhD in the field of optical surface measurement techniques from Technical University of Munich, Germany. Since 2002, he has been a scientist at the Fraunhofer Institute for Integrated Systems and Device Technology IISB, Germany. His field of research includes the modeling and simulation of optical and EUV lithography and the application of artificial intelligence methods in lithography. He is coauthor of the lithography and optics simulator Dr. LiTHO.

© 2022 Society of Photo-Optical Instrumentation Engineers (SPIE)
Andreas Erdmann, Hazem Mesilhy, and Peter Evanschitzky "Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?," Journal of Micro/Nanopatterning, Materials, and Metrology 21(2), 020901 (11 May 2022). https://doi.org/10.1117/1.JMM.21.2.020901
Received: 28 February 2022; Accepted: 12 April 2022; Published: 11 May 2022
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Diffraction

Phase shifts

Refractive index

Extreme ultraviolet lithography

Resolution enhancement technologies

RELATED CONTENT

Mitigation of image contrast loss due to mask side non...
Proceedings of SPIE (March 13 2015)
Phase-shifting effect of thin-absorber EUV masks
Proceedings of SPIE (October 13 2011)
EUV phase-shifting masks and aberration monitors
Proceedings of SPIE (July 01 2002)

Back to Top