Open Access
13 November 2024 Special Section Guest Editorial: Curvilinear Masks—A Transformational Shift in Semiconductor Lithography
Linyong Pang, Danping Peng
Author Affiliations +
Abstract

Guest Editors Linyong (Leo) Pang and Danping Peng summarize the Special Section on Curvilinear Masks.

As semiconductor manufacturing continues to push the boundaries of pattern fidelity and manufacturability, curvilinear masks have emerged as a transformative technology. This special section of the Journal of Micro/Nanopatterning, Materials, and Metrology (JM3) brings together the latest research on curvilinear masks, covering their development, processing, verification, metrology, and practical applications, all enabled by advancements in multi-beam mask writer technology. The papers featured in the section, published in three parts in the January-March 2024, April-June 2024, and October-December 2024 issues, collectively paint a comprehensive picture of how curvilinear masks are shifting the paradigm from the conventional Manhattan designs to more fluid, high-performance shapes that are more manufacturable and resilient.

To start your journey into the curvilinear world, we highly recommend beginning with two foundational overview papers. Fujimura, Choi, and Shendre’s paper, “ Curvilinear masks overview: manufacturable mask shapes are more reliably manufacturable,” offers an excellent introduction to curvilinear masks, their motivation, and the state of readiness in the mask-making ecosystem. It highlights how curvilinear masks, made possible through multi-beam mask writers, not only improve wafer process windows but also significantly reduce mask and wafer variability. Complementing this, Pang and Fujimura’s paper, “ Why the mask world is moving to curvilinear,” provides an in-depth look at the fundamental shift taking place in the photomask industry. The paper delves into the recent lithography and mask technology conferences and explains why the industry is moving toward curvilinear masks. Pang examines the benefits of curvilinear masks, highlighting that curvilinear ILT generates curvilinear mask patterns which produce the largest wafer process windows. On the mask itself, curvilinear masks enable tighter pitch on the design, better mask dose margin, and lower mask error enhancement factor (MEEF). The paper also showed that curvilinear masks reduce mask variation by approximately 20% compared to Manhattan patterns in the study at Micron. This reduction is significant as it translates to a similar reduction in wafer variation, especially crucial for advanced technology nodes with high MEEF.

After gaining a broad understanding of curvilinear technology, readers should explore how advancements in mask writer technology have been pivotal in enabling curvilinear masks. Tomandl et al.’s paper, “ Multi-beam mask writing opens up new fields of application, including curvilinear mask pattern for high-NA EUV lithography,” discusses the evolution of multi-beam mask writers (MBMWs) from IMS Nanofabrication. These multi-beam mask writers have effectively opened up new fields of application for extreme ultraviolet (EUV) masks, supporting complex curvilinear patterns with superior critical dimension uniformity and resolution capabilities. “ Curvilinear mask process correction embedded on multi-beam mask writer,” Noriaki Nakayamada-san et al.’s paper from multi-beam mask writer equipment company NuFlare, takes this further by detailing how pixel level dose correction (PLDC), an inline dose based mask process correction technique that takes zero extra time, have been integrated within multi-beam writers to optimize curvilinear patterns directly during writing, thus minimizing pattern fidelity loss and reducing manufacturing turnaround time.

Inverse lithography technology (ILT) and curvilinear optical proximity correction (OPC) are the main driving forces behind curvilinear masks. Since this is not a special section focused exclusively on curvilinear ILT, we have included only two papers in this area. Chen et al.’s paper, “ Curvilinear mask handling in OPC flow,” presents how traditional edge-based OPC methods can be adapted for curvilinear shapes, extending the utility of OPC beyond Manhattan geometries. This approach retains the fundamental steps of conventional OPC, including dissection and classification, while enhancing mask manufacturability and critical dimension control. Granik’s paper, “ Qualification of structured curvilinear inverse lithography technology assist features for production,” addresses the challenges of sub-resolution assist features (SRAF) in curvilinear masks. Granik introduces a structured approach to SRAFs that balances manufacturability, mask rule compliance, and lithographic quality, making curvilinear ILT viable for production.

Understanding curvilinear mask data handling is also crucial for the success of curvilinear masks, and Hu et al.’s paper, “ Curvilinear data representation and its impact on file size and lithographic performance,” explores topics in the new curvilinear mask data MULTIGON format: the implications of data representation choices on mask complexity and performance. The paper discusses how spline-based MULTIGON records, while potentially reducing file sizes, must be balanced against lithographic quality. Following on from data representation is the verification process, tackled in Lee et al.’s paper, “ Mask deep check to pre-detect defects in curvilinear mask,” Lee presents a novel deep learning-based defect detection method called mask deep check (MDC), which leverages vector graphics transformer architectures to effectively identify and explain defects in curvilinear mask patterns, thereby improving overall mask yield.

For those interested in curvilinear mask metrology, Pang et al.’s paper, “ Curvilinear mask metrology: what is the equivalent critical dimension?”, addresses one of the most significant challenges in adapting mask metrology to curvilinear masks—the definition of critical dimension (CD) for curvilinear shapes are not valid any more. Pang et al. propose an equivalent CD metric for curvilinear masks and demonstrates that by using the new metrics, curvilinear masks exhibit smaller variations compared to traditional Manhattan masks, offering significant advantages for both mask shops and wafer fabs.

When it comes to specific applications of curvilinear masks, Vidal-Russell’s paper, “ Curvilinear masks extend lithography options for advanced node memory roadmaps,” highlights how these masks are helping overcome the scaling limitations in DRAM and NAND manufacturing. The paper shows that curvilinear masks improve CD uniformity, reduce process variation, and provide larger process windows, making them an essential tool in memory manufacturing where tighter process control is required.

From a computational perspective, Abhishek Shendre’s contributions are invaluable for readers interested in the data processing aspects of curvilinear mask technology. In “ You don’t need 1 nm contours for curvilinear shapes: pixel-based computing is the answer,” which received the BACUS best paper award in 2020, Shendre et al. argue for the efficiency of pixel-based representations over high-resolution contours. His second paper, with Aki Fujimura, “ Leaping into the curvy world with GPU accelerated O(p) computing,” demonstrates how GPU acceleration can provide practical solutions for managing the computational load of curvilinear ILT, enabling faster and more scalable pattern generation.

Lastly, for readers interested in how curvilinear full chip ILT and curvilinear masks can still be implemented on the mainstream variable shaped beam (VSB) mask writers, Pang et al.’s paper, “ Make the impossible possible: use variable-shaped beam mask writers and curvilinear full-chip inverse lithography technology for 193i contacts/vias with mask-wafer co-optimization,” is an essential read. This work demonstrates how variable-shaped beam (VSB) mask writers, typically used for Manhattan shapes, can be adapted for curvilinear masks through a process of mask-wafer co-optimization (MWCO), opening up the benefits of curvilinear technology to all technology nodes.

We hope this special section offers valuable insights into the exciting advancements in curvilinear masks in semiconductor manufacturing. These papers, written by experts in the field, cover almost every aspect of curvilinear technology from data handling and OPC/ILT to mask writing, metrology, and practical applications. As curvilinear masks become more commonplace, understanding these topics will be crucial for staying at the forefront of semiconductor lithography. Curvilinear masks also enable curvilinear design, which will bring even broader benefits to the entire chip industry and further advance AI technologies for humanity.

In closing, as guest editors, we want to express our sincere appreciation for each of these contributions and thank the authors for their hard work. You may notice that one of us is also an author on several of these papers. We were chosen as guest editors because of our extensive careers and significant contributions to this field. However, rest assured that the rigorous standards of JM3 were strictly applied—neither of us edited our own papers. Instead, those submissions were overseen by other associate editors who adhered to the same standards as for regular JM3 submissions.

© 2024 Society of Photo-Optical Instrumentation Engineers (SPIE)
Linyong Pang and Danping Peng "Special Section Guest Editorial: Curvilinear Masks—A Transformational Shift in Semiconductor Lithography," Journal of Micro/Nanopatterning, Materials, and Metrology 23(4), 041501 (13 November 2024). https://doi.org/10.1117/1.JMM.23.4.041501
Published: 13 November 2024
Advertisement
Advertisement
Back to Top