Advanced semiconductor devices are moving toward three-dimensional (3D) geometries due to scaling demands and performance requirements. Non-destructive metrology necessary for process control of 3D structures must be advanced to facilitate their transition from technology development to high-volume manufacturing. Thin film metrology using Mueller matrix spectroscopic ellipsometry (MMSE) and X-ray diffraction (XRD) film metrology, as well as patterned structure metrology using optical critical dimension (OCD) and X-ray fluorescence (XRF) techniques, have proved capable of measuring the |
1.Introduction1.1.IntroductionNon-destructive metrology is critical to enabling process control for current and next-generation three-dimensional (3D) transistor and memory structures.1 3D structures have become necessary due to scaling and performance requirements at advanced process nodes.2,3 Here, we discuss optical scatterometry and X-ray metrology for 3D nanosheet/nanowire (NS/NW) transistors with vertically stacked channels, which are fabricated using selective etching of the silicon–germanium () layers of superlattice film stacks.4–7 NS/NW transistors have increased in the number of channels and may further evolve into fork field effect transistor (FET) and complementary field effect transistor (CFET).5 Memory devices such as Not AND (NAND) memory have used film stacks with more than 100 alternating layers of dielectric films to increase storage density. Dynamic random access memory (DRAM) is a candidate for scaling into 3D because of the difficulty of scaling using the high-bandwidth memory (HBM) approach. HBM devices stack die with single layers of 1T1C DRAM using through-silicon vias.8,9 Currently, 3D DRAM structures based on superlattices with layers of are being developed, but samples are not yet available. These would most likely be 1T0C DRAM structures that utilize the floating body effect to store memory.10–12 We also discuss the extension of X-ray metrology to superlattices that are applicable to 3D DRAM. Both high-resolution X-ray diffraction (HR-XRD)– and Mueller matrix spectroscopic ellipsometry (MMSE)–based scatterometry provide a non-destructive measurement of film stacks and structures associated with the fabrication of both devices. Non-destructive measurements are key to enabling in-line process control during high-volume manufacturing. In this study, we investigated the limitations of both methods using experiments and simulation. Among the limitations studied here are sensitivity to film thickness variation, germanium concentration, feature dimensions, and interfacial structure. We also investigated the superlattice structure itself using both X-ray and optical characterization. This work is a continuation of an SPIE 2024 proceedings paper of the same title.13 1.2.Sample and Metrology OverviewWe characterized four-layer superlattice film stacks and patterned nanowire test structure (NWTS) samples with two types of test structures. NWTS targets consist of periodic hole structures forming an anisotropic column etched into a superlattice film.14 This exposes the in the column and allows high-selectivity etching of during the following gas-based cavity etch step.4 NWTS test structures are used to develop selective cavity etch processes using a simplified structure used for selective etch process development for gate-all-around (GAA) transistor devices. Optical critical dimension (OCD) and X-ray fluorescence (XRF) metrology were done on NWTS structures consisting of a periodic array of column ovals at a X/Y pitch. These were etched through a hard mask past four superlattice repeats of 6-nm and 8-nm Si layers. Samples were measured at four process steps: the deposited superlattice film, the anisotropical column etched stack, and at two amounts of cavity etch. The film stacks were characterized using both MMSE and HR-XRD. The film thickness and germanium concentration values were characterized using and X-ray reflectivity (XRR) scans to determine the thickness of each film and the germanium concentration using scans. HR-XRD reciprocal space maps (RSMs) were used to search for stress relaxation and the presence of epilayer tilt. The film stack for patterned test structure samples was also characterized in unpatterned die corner areas using MMSE. MMSE scatterometry–based OCD was used to measure the cavity etch in patterned NWTS samples at three levels of cavity etch, and a corroborating technique of XRF was also evaluated for the patterned samples. OCD models were implemented in the commercial Ai Diffract™ software, which uses rigorous coupled wave analysis (RCWA) to generate modeled spectra to fit experimental spectra. XRF determines the volume of lost to cavity etch by utilizing the intensity drop of the Ge fluorescence peak at 9.89 eV among process steps.15 XRF only needs a simple geometric volume loss model and is useful for corroborating the more computationally intensive OCD results. 1.3.Alternate Non-Destructive Cavity Etch MetrologyOther metrology techniques that show promise for detecting buried feature geometries are extreme ultraviolet (EUV) scatterometry and EUV reflectometry; however, these methods were not explored in this publication. The small wavelength allows the gathering of more diffracted orders of light when measuring the test structures. EUV scatterometry has been applied to the characterization of arrays of forksheet transistor metrology test structures, and this technique was shown to be sensitive to the selective cavity etch step.16 EUV imaging reflectometry for variable angle pychtographic imaging has been demonstrated to allow quantitative 3D imaging of nanostructures, including their chemical makeup and doping levels.17 1.4.Previous NWTS Characterization Work Using MMSEPreviously, several of the authors of this paper showed that MMSE-based OCD is capable of measuring the feature shape and dimensions of NWTS.18 These samples consisted of three instead of four superlattice layers. Also, the structure consisted of 20-nm Si and 20-nm layers, which are significantly thicker than the 8-nm Si and 6-nm layer stack being evaluated in this paper. This study proved the sensitivity of MMSE scatterometry to the amount of cavity etch through comparison to transmission electron micrograph data.14 However, this study did not investigate the sensitivity of MMSE scatterometry to changes in film thickness and feature dimensions. Also, XRF was not evaluated for corroborating OCD measurements. In addition, the three-layer superlattice film stacks in that study were not characterized using HR-XRD. 1.5.Optical Properties of SuperlatticesAlthough the effects of superlattice structure on their band structure have been studied, their implications for semiconductor metrology require further consideration. Superlattices that are pseudomorphically grown on silicon substrates exhibit both stress and band folding effects on the electronic band structure of superlattices.19,20 Most studies consider a perfect superlattice where the main optical effect is on optical transitions at the band gap.19,20 When the number of atomic layers in the structure meets the specific criteria, the Brillouin zone folds so that the structure has a quasi-direct band gap.19 Silicon, germanium, and silicon–germanium alloys are indirect band gap materials. The highest energy of the valence band (valence band maximum) of both silicon, germanium, and silicon–germanium alloys is at the center of the Brillouin zone, , whereas the sixfold degenerate, conduction band minimum, , of bulk silicon is along the [100] direction close to the point at where is the lattice constant of silicon.3 The conduction band minimum of germanium is along the [111] direction at the point. Silicon–germanium alloys display silicon-like electronic band structures up to germanium concentrations of around .3 The band gap of bulk decreases with increasing germanium concentration and for , .3 The silicon layers in these superlattices are considered to not be stressed, and their optical properties are only affected by band folding. Brillouin zone folding was first theoretically shown to result in a direct gap transition in the point (center) of the Brillouin zone of superlattices by Gnutzmann and Clausecker.20 An exact number of monolayers along the growth direction is required for the conduction band minimum to back-fold so that it is now at the point.19 For a perfect interface between the silicon and silicon–germanium, the carrier scattering is coherent, but the symmetry of the wave functions is not changed. This results in a quasi-direct gap in the silicon layer with less light absorption than a direct gap semiconductor which occurs at the energy of the silicon band gap.19 When there are many superlattice layers, the addition of the oscillator strength from many layers () is expected to result in an observable effect.19 The variation in the number of monolayers in each film will result in a spread in gap energies. The second effect comes from the biaxial stress on the layers, which splits the conduction band of the silicon–germanium layers into a twofold degenerate minimum along the growth direction and a fourfold degenerate minimum in the plane of the layer. The valence band splitting is more complicated; for this, the reader is referred to a chapter by Abstreiter19 on band gaps and light emission in atomic layer structures. As mentioned above, the indirect band gap energy is a function of the germanium fraction , and its energy is the difference between the heavy hole valence band maxima and the four in-plane conduction band states minima .19 As the energy of the in-plane conduction band varies little with germanium concentration, we can assume that the position of the minimum in the Brillouin zone does not change substantially with . Thus, one can infer that there should be no effect of germanium concentration on the number of monolayers that result in a direct gap for along the growth direction. This points to a superlattice-based increase in optical absorption occurring at the two band gap energies (Si and ) for many-layer superlattices with each layer having an equal number of monolayers, which results in exact band folding. Future samples of many layer () superlattices will be needed to experimentally test this assessment. Thus, the visible wavelength optical properties of four-layer superlattices do not seem to be significantly impacted by Brillouin zone folding. Instead, an interfacial layer resulting in a relatively small increase in absorption between 2 and 2.5 eV has been observed.21 Using a series of annealed superlattice structures, Attiaoui et al.21 also showed that spectroscopic ellipsometry is more sensitive to the interface layer than is HR-XRD. We might expect X-ray reflectivity to be more sensitive to the interfacial layers than HR-XRD. Below, we show that an effective dielectric function for the superlattice modeled using an effective medium approximation (EMA) provides a useful optical model. The main missing absorption in the EMA approach comes from the interfacial layers. The authors are not aware of any other studies describing the effect of this interfacial layer on in-line metrology of semiconductor superlattice. The elastic theory approach to understanding strain in pseudomorphic superlattices of has been validated by a recent scanning transmission electron microscopy (STEM) analysis of convergent beam electron diffraction (CBED) maps of low Ge concentration () superlattice structures.22–24 The electron beam diameter used for the CBED maps of the superlattices was too large to allow for the study of the interface between Si and layers. Phonon modes are also modified by superlattice effects. The phonon modes of bulk semiconductors are altered by confinement along the growth direction and by the superlattice structure. The confinement is associated with changes in acoustic and optical phonons of nanoscale layers.25,26 The Brillouin zone folding effects should be observable in Raman spectra.27 1.6.X-Ray Diffraction from SuperlatticesX-rays are able to probe the properties of Si and crystal lattice planes due to their short wavelength, with commonly used Cu K alpha X-rays used in XRD having a 1.54-Å wavelength. This allows the characterization of effects not visible in optical wavelength ranges. The composition of the layers in the superlattice can be determined using the shift in the Bragg angle for the 004 diffraction peak of from the Bragg angle of the 004 peak of the silicon substrate.26–28 This is due to the change in lattice spacing for the compared with Si and is calculated using the lattice spacing of the 004 peak of silicon using28 and . The use of Vegard’s law to convert the lattice constant of pseudomorphic into the germanium concentration assumes that elastic theory provides an accurate description of the bi-axially stressed layers for the calculation of germanium concentration and that there are no corrections to Vegard’s law.29 The estimated error in the calculated germanium concentration for pseudomorphic layers of when using Vegard’s law to interpolate the lattice constant is 0.5%.30 We also note that the elastic constants of have not been experimentally determined for all germanium concentrations, and typically, they are also estimated using Vegard’s law. Alternately, one can use an experimentally determined relationship between the lattice constant of pseudomorphic along the growth direction and the germanium concentration using (nm).31 This reduces the error in germanium concentration for single pseudomorphic layers, but this approach may have additional consideration for superlattice characterization. As mentioned above, strain mapping from 4D STEM characterization of superlattices shows the strain to transition from the unstrained silicon layer to the fully strained silicon–germanium layer.22–24 Thus, we are basing HR-XRD determination of the germanium concentration on the lattice constant of the bulk part of the layer. The use of intensity oscillations (fringes) to determine film thickness for pseudomorphic layers is not impacted by error in the germanium concentration. The effect of the superlattice unit cell structure on X-ray diffraction measurement of unpatterned, few-layer superlattices has been extensively described in the scientific literature.32 A key question about characterizing superlattice structures is how the superlattice itself changes the measurements. Along the growth direction, a superlattice has () unit cells of Si and () unit cells of (also denoted () where and are not necessarily integers. For a perfect superlattice, this structure repeats. This superlattice unit cell is observed in X-ray diffraction. The presence of a unit cell results in additional satellite diffraction peaks that are readily observed in analysis of superlattices.33 Along the growth direction, the Brillouin zone also reflects this structure. We characterized a four-layer superlattice film using HR-XRD, and despite imperfect periodicity due to variation in individual layer thicknesses, clear superlattice effects were observed in terms of the splitting of satellite peaks from the main peak. The strain/relaxation and tilt can be found using reciprocal space maps, where are mapped in and in reciprocal space. A fully strained, non-tilted epitaxial layer on Si will have a peak at the same location as the Si peak for both symmetric and asymmetric reflection.29 2.Methods2.1.Superlattice and NWTS Sample ProcessingTest structures based on a four-layer superlattice film were patterned with a array of oval holes. The patterned array consists of anisotropic reactive ion-etched columns projecting downward through the superlattice and into the substrate. This exposes the layers and allows them to be selectively cavity etched using a gas chemical etch process leaving the silicon superlattice layers.4 This process of superlattice deposition, column etch, and cavity etch is summarized in Fig. 1. The first sample consists of an epitaxial Si and superlattice film capped by a hard mask, which will be referred to as the film sample. Epitaxial deposition of the superlattice was achieved using reduced-pressure chemical vapor deposition (CVD), and the was deposited using rapid thermal processing CVD. The second sample adds an anisotropic column etch through the superlattice and into the substrate and will be referred to as the 0E (or no cavity etch) sample. The third and fourth samples were processed at two levels of cavity etch and will be referred to as the 10E (10-nm targeted cavity etch) and 20E (20-nm targeted target cavity etch) levels of cavity etch. Cavity etch was achieved using gas chemical etch, which allows high Si to etch selectivity.4 Samples were taken from the same 300-mm wafer at the superlattice film, 0E, 10E, and 20E process steps. At each processing step, a sample was cleaved off for measurement. Superlattice film deposition was done on the wafer, and a film sample coupon was cleaved from the wafer. The wafer was then cleaved for the column etch step to produce the single die 0E coupon sample. Afterward, the column-etched portion of the wafer was cleaved into quarter wafers to obtain samples at two levels of cavity etch. The 10E and 20E samples were quarter wafer samples cut from the same half of the original 300-mm wafer. Measurements were done inside a patterned target area on each of the die. Five dies were measured on the 10E and 20E samples, which were adjacent to each other along the wafer radius before cleavage. Die 1 will refer to the die closest to the wafer edge, whereas die 5 will refer to the die closest to the wafer center. The 20E quarter wafer was cavity etched for longer than the 10E sample. 2.2.X-Ray Diffraction of Si/Si1−xGex Superlattice StacksX-ray diffraction was used to determine individual layer thicknesses and the Ge concentration of individual layers of the thin film sample coupon. Measurements were done using a Bede-Metrix-L HR-XRD system. This system is equipped with a 1.54-A Cu alpha source and a four-bounce Ge monochromator, which results in a beam with 35 arcsec of broadening. The and parts of the goniometer can be scanned with 1-arcsec step accuracy. The constructive interference among the crystal lattice planes creates diffraction peaks at the Bragg condition.28 Because germanium has a lattice constant of 5.66 A, which is larger than that of silicon (5.43 A), a peak will be observed adjacent to the Si Bragg peak. This peak shifts as the germanium fraction increases, which increases and reflects the change in the average lattice constant of the 004 lattice planes.30 The four repeated layers form a superlattice stack. This structure results in extra satellite peaks around the main peak due to the additional periodicity.33 Due to the large spot size of the Bede Metrix L system, only the 0E coupon sample was measured using XRD. The sensitivity of characterization to changes in -layer superlattices was explored using the Bruker Jordan Valley X-RAy diffraction software (JV-RADS™) software simulations. Currently available samples consist of superlattice layer samples, but future 3D DRAM devices are expected to use more than 100 layers. NWTS-like stacks with 8-nm Si and 6-nm layer thickness were simulated using the JV-RADS™ superlattice functionality at , 4, 8, 16, and 32 superlattice layers. 3D DRAM-like stacks34 with 20-nm Si and 20-nm layers were also simulated at , 4, 8, 16, 32, 64, and 128 layers. Simulated datasets were used to analyze the trends in resolution limitations as the number of layers in each type of stack increases. 2.3.Mueller Matrix Spectroscopic EllipsometryMMSE has been extensively described elsewhere,35,36 and here, we present a brief description. The Mueller matrix describes the polarization state change of light of a four-element Stokes vector, which describes horizontal, vertical, 45-deg, and circularly polarized light. MMSE is sensitive to anisotropy and depolarization, which standard ellipsometry or Jones matrix ellipsometry cannot detect. This is an advantage when fitting periodic structures, which show a response in the top right and bottom left elements of the Mueller matrix.35 This means that asymmetric angles of incidence will show response in off-diagonal MM elements allowing the use of more non-trivial sets of fitting spectra Mueller matrix and Stokes vector definition in terms of (a) elements and (b) generalized definitions for isotropic Muller Matrix elements where , and . Thin film sample Mueller matrix spectra were gathered on a Woollam RC2™ dual-rotating compensator ellipsometer at a 65-deg angle of incidence from 210 to 1690 nm (0.7 to 5.9 eV). Alignment to the patterned area was done using a motorized XY translation stage and a mounted alignment camera. Additional off-azimuth angle MMSE data were gathered using an Onto Innovation Atlas® V system, which allows a smaller spot size than the focusing optics available for the RC2™ ellipsometer. The Atlas® V system allowed the collection of through-azimuth angle datasets where the elliptical beam was completely inside the target grating area at all measurement angles. 2.4.OCD Metrology Using RCWARCWA has been described in detail elsewhere.37,38 RCWA calculates the optical response to a periodic structure by performing a staircase approximation in the direction. The dielectric function of each -slice is approximated using Fourier series at harmonics and truncation settings, and matrix applications of Maxwell’s equations are used to determine the response.38,39 Critical dimension parameters defined in a 3D model are iteratively fit in the OCD implementation. This was done using the commercial Ai Diffract™ software by Onto Innovation. 2.5.XRF MetrologyX-ray fluorescence is used to characterize the samples based on the secondary electrons emitted after exciting a sample.28 Because Si and have separate fluorescence peaks, the amount of cavity etch can be determined independent of the Si in the structure. The germanium 9.89 eV and 10.98 eV fluorescence peak intensities are related to the total volume of excited by the beam. The degree of cavity etch can be found by taking a reference intensity before the cavity etch and then comparing to a post-cavity etch measurement.15 This means that XRF does not require a complex model such as scatterometry, and the cavity etch amount can be approximated using a simple geometric volume change. 2.6.STEM of Focus Ion Beam (FIB) Milled SamplesMMSE-based OCD and XRF are both indirect techniques, so STEM metrology was used as a reference for the NWTS target dimensions and cavity etch. Lamellae from the NWST target were prepared by FIB liftoff and the milling using a Thermo Fisher Helios 5X courtesy of Tokyo Electron. Before milling and liftoff, samples were first coated with an aluminum oxide conformal layer and then coated with amorphous carbon fill. This was done to allow thinning of the samples while preventing damage to the lamellae. The lamellae were attached to individual transmission electron microscope (TEM) grid posts and imaged using a FEI Titan 3™ TEM. Images of multiple periods of the structures in and were obtained to verify the structure pitch. For measurements, three individual cut profiles of the periodic structure were obtained for the and structures. The Gatan DigitalMicrograph™ software was used to determine the dimensions of each of the cavity-etched structures. 3.Results and Discussion: Superlattice Film Measurements3.1.HR-XRD Superlattice Film Sample MeasurementsHR-XRD was used to characterize the thickness and germanium composition of the superlattice film sample. XRD scans were set up around the 004 and 224 silicon Bragg peaks to determine germanium composition and epilayer thickness. The angular shift of the diffraction peak is a function of the composition, and epilayer thickness is determined from the angular difference among peaks in the intensity oscillations of the interference peaks observed adjacent to the diffraction peak.30,33,34 As discussed above, the relationship between the germanium composition and 004 lattice spacing has been experimentally determined along the growth direction for single pseudomorphic layers.31 This relationship provides a more accurate determination of germanium composition than Vegard’s law.31 As seen in Fig. 2, additional satellite peaks were observed around the main peak, confirming the presence of a superlattice. The extra periodicity of the superlattice provides an additional structure factor, resulting in satellite peaks around the offset peak.33 The scans were modeled and fit in the Bruker JV-RADS software to find individual thicknesses and compositions. These were used as initial starting value ranges for optical model fitting. These data demonstrate that the superlattice effect is robust for samples where the individual Si and layers have thickness differences of several angstroms throughout the film stack. The Ge concentration is also 1% to 2% different among the layers. 3.2.RSMs and Determination of Epitaxially Strained GrowthRSMs gathered with HR-XRD were used to confirm the quality of the epitaxy of the layers relative to the substrate. The location of the peak relative to the Si peak in reciprocal space can confirm if layers on a substrate are strained, relaxed, or tilted by scanning both symmetric and asymmetric Bragg peaks.28 RSMs shown in Fig. 3 for the symmetric 004 Bragg peak showed the and superlattice peaks have the same value, which indicates that the epilayers 004 lattice planes are not tilted with respect to the substrate 004 lattice lanes. RSMs of the film sample for the asymmetric 224 Bragg peak showed the superlattice peaks and Si substrate peak have the same value, which indicates the fully strained growth of the superlattice layers on the substrate. Based on both the symmetric and asymmetric RSM, the film is fully epitaxially strained with no tilt or relaxation. 3.3.ω−2θ HR-XRD LimitationsTo understand non-attenuation–related measurability limits of XRD in a superlattice stack, a set of simulations was done using the JV-RADS™ software for individual layer changes in thickness and germanium concentration. The effects of a step change in a variable on goodness of fit (GOF) relative to the best fit were characterized for the superlattice layer closest to the substrate. The GOF parameter is calculated using a mean absolute error of log-transformed data and is iteratively minimized to calculate the best fit to the data. Three separate layer 1 fit variables, Si thickness, thickness, and Ge concentration, were simulated relative to the best fit. GOF was recorded for each step and plotted against the deviation of the variable from the best fit. It was found that a linear region exists near the best-fit parameters, and this region can be characterized by an average positive or negative slope. This slope provides a measure of sensitivity to any fit variable for the stack. A detectability limit for each variable can be determined by multiplying the slope with the smallest detectable GOF step (Fig. 4). 3.4.ω−2θ HR-XRD Limitations for n-Layer Superlattice StacksFuture applications will use thicker stacks for both GAA transistor and 3D DRAM structures. Current transistor structures are in the three- to four-superlattice layer for horizontal GAA nanowires. However, thicker stacks are on the roadmap for CFET devices.1 3D DRAM applications are also of interest, with stacks consisting of thicker layers in the 20-nm range.34 For thicker stacks, attenuation can potentially be a concern and impose HR-XRD measurement limitations. However, the calculated attenuation depth for based on NIST X-ray data40 was found to be in the order of for Si and for . This is much greater than the approximate expected thickness of a layer, 20 nm per layer superlattice stack, which could potentially be used in 3D DRAM applications. To understand layer thickness resolution–related limitations, we evaluated a set of -layer simulations for these two cases. The first is a NWTS-like stack, consisting of 8-nm Si and 6-nm superlattice layers. This stack was simulated for superlattices consisting of , 4, 8, 16, and 32 layers. The second is a 3D DRAM–like stack, consisting of 20-nm Si and 20-nm superlattice layer. This stack was simulated for superlattices consisting of , 8, 16, and 32 layers. All simulations were done for fully strained at a composition of . Also, 35 arcsec of broadening was included in the simulation to simulate the effect of a 4-ounce Ge Bartels monochromator (Fig. 5).41 For both stacks, the peaks corresponding to is located 2367 arcsec away from the peak. For the NWTS-like case, this lands in the center of the highest non-Si peak. This can be calculated using simple epitaxial layer peak split distance. However, for the 3D DRAM–like case, this lands in the middle of a trough between two split superlattice peaks. This is because the four superlattice peaks between and are part of the same split peak, based on a single epitaxial layer simulation. The superlattice peak-to-peak distance can be used to find the average layer thickness. This is due to the additional periodicity induced by the superlattice structure.33 The number of superlattice layers can be determined based on the fringes among superlattice peaks. In the NWTS-like case, this is 1378 arcsec, or 14 nm (8-nm Si and 6-nm ), whereas in the 3D DRAM–like case, this is 482 arcsec, or 40 nm (20-nm Si and 20-nm ). For the simulated superlattices with lower numbers of layers, a trend can be observed where the number of fringes among superlattice peaks [dashed green lines in Figs. 6(a) and 6(b)] is equal to superlattice layers. The fringe spacing of these features is the superlattice peak distance divided by the number of superlattice layers . The resolution limit can therefore be estimated as occurring when . In the case of these simulations, a limit is reached when the fringe spacing approaches the simulated 35 arcsec of beam broadening. Fringes are visible for in the NWTS case; however, in the 3D DRAM–like case, fringes become undiscernible after . Two methods were evaluated to determine if datasets are sensitive to fitting large numbers of superlattice layers, which results in fringe spacings below the resolution limit. An FWHM-based approach was first evaluated. Because thinning of the superlattice peaks due to fringes was observed, a correlation between FWHM and number of superlattice layers was expected. This showed linearity when plotted on a log/log scale until the FWHM approached the simulated 35-arcsec broadening value. The FWHM trend was unable to cross this line, indicating that the broadening value is a resolution limit for this method. An intensity-based approach was then evaluated because thicker superlattice stacks have more planes of contributing to the and superlattice peaks. However, intensities are difficult to calibrate for X-ray sources, so the and superlattice peaks were normalized to the Si 004 peak. This resulted in a log/log trend beyond the superlattice layer resolution limit. This approach may be useful for distinguishing the number of layers in a 3D DRAM–like superlattice stack. 3.5.Ellipsometric Characterization of Superlattice Film SamplesOptical modeling of a device test structures requires accurate material files for the complex refractive indices (dielectric functions). We used previously determined complex refractive index data for the layers, and we determined the complex refractive index for the hard mask in the NWST structure. A standard model from Herzinger et al.42 was used for crystalline silicon. Determination of the relevant optical model was based on XRD measurements around the 004 Bragg reflection, as detailed in Sec. 2.2. The average concentration, from the JV-RADS fit of the XRD measurement, was used as the nominal concentration in material file. RSM results summarized in Sec. 3.2 were used to confirm epitaxy. Based on this, an optical model describing fully strained (pseudomorphic), composition-dependent refractive index data developed by Muthinti et al. was chosen for single-layer samples.13 often has process-dependent optical properties,43,44 so the optical model was determined using a sample consisting of on a Si substrate deposited using the same process conditions used for the -capped superlattice film samples. XRR characterization was used to determine thickness at three points 2 mm apart near the Si wafer center. Optical properties were determined using ellipsometry at the same wafer center location. The thickness was fixed based on XRR results and the optical properties of the layer were fit using a wavelength-by-wavelength model. The wavelength-by-wavelength model fit was parameterized using a Cody–Lorentz oscillator and imported into the superlattice film sample scatterometry models as a material file. Ellipsometry model fitting of the superlattice thin film sample was first done in Woollam CompleteEase6™. Fitting was done to the N, C, and S (MM12, MM33, and MM34) Mueller matrix element data because the stack is isotropic. N, C, and S can be expressed in terms of the ellipsometric constants and , where , , and .35 Floating all four layer thickness values or Ge concentration was found to be unstable. To get around this limitation, variable fixing, pairing, and modeling strategies were evaluated. A general model was defined for the four-layer superlattice film, coated with a layer with allowance for top roughness. For all model variations, the and roughness were allowed to float. Four variations of the model fit were evaluated. First was a model based on fixed XRD-derived values and a single Ge concentration for the superlattice stack. Second was a model fitting average Si and film thicknesses. The third was a composition-dependent EMA that mixed the Si and complex refractive indices for the entire superlattice. The fourth strategy was a single effective dielectric constant (EDC) described below. These were done to understand the optical response of the superlattice. The EMA is often used to describe random roughness in an interfacial layer and can also be used to describe sets of films as a single layer. The superlattice can be effectively modeled as a single EMA layer, where the Si and optical properties are averaged in a single layer, instead of a set of layers.21 An EDC can also be calculated from experimental data, which describes the optical properties of the entire multilayer layer film stack in one complex refractive index or dielectric function. In this approach, the entire superlattice stack can be fit wavelength by wavelength to derive an oscillator model for that stack that is not dependent on an initial model.45 Fits and mean square error (MSE) values for all fits were calculated in J.A. Woollam CompleteEase6™ for MMSE NCS data gathered at 55-, 65-, and 75-deg angles of incidence from 210 to 1600 nm. The XRD-derived thickness approach and average thickness per material had comparable performance based on MSE. However, because small spot XRD was not available, scribe line film measurements for the 0E, 10E, and 20E were done using ellipsometry using the average thickness per material approach. The MSE EDC fit was found to be significantly lower than the EMA model-based fit. This was found to be due to the ability of the EDC model to fit superlattice effects such as interfacial broadening. Optical properties were derived based on the EMA and EDC models and are discussed in Sec. 3.6. Thin film model comparisons were measured on the unpatterned superlattice thin film coupon sample. The square error (SE) average thickness per material approach was used to measure the patterned sample thin films, and measurements on the 10E and 20E quarter wafer patterned samples were done at scribe lines above the patterned dies 1 through 5. However, XRD was not measured due to the spot size being larger than the scribe line width. Both samples showed that the layer was thicker than the 6-nm Si layers. Also, a radially decreasing hard mask profile was observed. The highest hard mask thickness occurred in die 4 for 10E and in die 5 for the 20E sample (Fig. 7 and Table 1). Table 1Comparison table of superlattice film thicknesses derived from various fit strategies. Strategies based on using XRD nominal thicknesses, average superlattice thickness based on ellipsometry, superlattice EMA, and superlattice EDC are summarized.
3.6.Thin Film Spectroscopic Ellipsometry Measurement LimitationsThe difference between a calculated EMA model and an EDC model derived from the superlattice film data was explored to understand the effect of the superlattice on optical properties. The EMA model can be calculated based on the percentage of two or more materials. This provides a reasonable calculated model for the superlattice stack as an intermixing of Si and optical properties. However, the parameterized EDC wavelength-by-wavelength fit shows that the superlattice has optical properties that are not accounted for in the EMA model. First, a broadening can be seen between 2 and 2.5 eV which lowers the band gap, similar to the one observed by Attiaoui et al.,21 as well as an critical point observed around 3 eV. EDC modeling of the stack showed that an interface effect is present. This approach, however, is not viable for modeling cavity etch because each can have different amounts of cavity etch. To account for a cavity etch in an anisotropically etched layer, the interfacial properties could be added to the layer optical properties. The standard material file could be replaced by an EDC material file for the and interface. This may increase the accuracy of the model; however, this was out of the scope of this paper (Fig. 8). Attenuation depth of optical measurements is a concern for next-generation, layer pair superlattice stacks for 3D DRAM applications. This can be estimated using the attenuation depth based on an EMA approximation of the superlattice stack. In the 60-nm samples studied here, light below 3 eV will be able to probe the bottom of the stack, and detectability of layer thickness changes should be observable. However, for , layer 3D DRAM–like stacks, only photons below 1.5 eV (830 nm) can penetrate the superlattice stack based on EMA approximation data. This points to the need for InfraRed and XRD methods for measurements of future 3D DRAM film stacks. We used the optical modeling of the unpatterned film stack sample using Mueller matrix NCS elements instead of the traditional ellipsometric parameters, and to facilitate comparison with the Mueller matrix approach used for scatterometry. To understand the wavelength locations that are most affected by fits, changes in values () were calculated using fitted and simulated MM data. The best fit was derived for a scribe line thin film measurement on the 10E sample adjacent to die 1. Then, changes from the best fit Si and layer thicknesses were simulated, and the difference was calculated so that . Also, a 5% increase in concentration was simulated. The difference, , between the best fit and the simulated changes was plotted, as well as the delta between the measurement and best fit. Modulations in the values for MM12, MM33, and MM 34 (N, C, and S) elements due to the layer thicknesses and Ge concentrations were seen between 3 and 3.5 eV. This region corresponds to the and critical points of Si and which indicates that model sensitivity potentially comes from differences in this region of the optical properties of each layer. The maximum deltas were quite small in the order of less than 0.03 normalized units; however, this is above the noise range, which was estimated to be less than 0.01 using off-diagonal M13 and M14 signals when measuring isotropic films. For an ideal isotropic film, off-diagonal Mueller matrix elements should be zero (Fig. 9). 4.Results and Discussion: Patterned NWTS Target Metrology4.1.Optical Modeling of Column Etched and Cavity Etched SamplesFor patterned and cavity-etched samples, the ability to measure the dimensions of features buried below the top hard mask layer is important: in particular, the superlattice layer thicknesses, column etch dimensions, and cavity etch dimensions. Samples were fabricated using superlattices grown with epitaxial strain, which allows cavity etch of the layer in the stack. After film deposition and patterning, the anisotropic column etch step exposes the layers. Afterward, a cavity etch step is done, where a highly selective etch of the strained results in lateral cavities and eventually the release of the Si nanowires for manufacturing of nanowire transistors.4 MMSE data taken on an Onto Atlas® V metrology system were used as the input dataset for OCD reconstruction and fit using an RCWA model implemented in the commercial Ai Diffract™ software. The main set of models was implemented as a 3D structure of oval holes. These holes are defined by geometries that cascade upward from the first layer sets. Cavity etch is implemented using an alternating negative offset to the hole diameter for layers and a positive offset to the Si layers as shown in Fig. 10. This was done to allow simplified implementation using a single offset value instead of intersecting 3D geometries, which would be too computationally intensive for the computing power available in the research lab. The non-thickness–related geometry can be fully described by the hole bottom dimensions, the sidewall angle, cavity etch, and a set of rounding/shape factors. STEM images of lamellae showed the importance of the secondary effects of processing. For example, the profile of the cavity etch was found to be curved, so this was implemented with rounding factors. Also, the column etch profile of the hole showed tapering and rounding, which was implemented in the model using ellipse rounding and a sidewall angle offset for the upper section of the stack. Damage due to the oxide breakthrough etch step was also observed, which resulted in inconsistent rounding and scalloping of the edges of the hard masks in the STEM images. This hard mask non-ideal shape was approximated using a trapezoidal hard mask profile in the OCD model. A small amount of off-diagonal MM element asymmetry was also observed in the data, which indicates asymmetric effects such as tilt in the structure. and tilt fits were tested, but only tilt was found to have a significant effect. Dimension parameters (sidewall angle and indent/cavity etch) were coupled in and to reduce the number of floating variables. A single type of model was created for the oval-shaped NWTS which can describe the patterned, column-etched samples as well as the patterned, column-etched (0E), and cavity-etched (10E and 20E) samples. To save calculation time, versions of the same model were saved near the nominal dimensions of the 0E, 10E, and 20E samples referred to in the introduction. A standard Si model developed by Herzinger et al.42 and a composition-dependent alloy model30 were used for the superlattice layers. The material file for the hard mask layer was derived from XRD, XRR, and MMSE results, as described in Sec. 2. Overall, the model can be described with eight parameters: the silicon nitride hard mask thickness, cavity etch amount, average silicon thickness, average silicon–germanium thickness, hole width, hole length, silicon substrate over etch, and hole sidewall angle. In addition, the azimuth angle is allowed to float slightly due to uncertainty in the sample mounting angle. 4.2.OCD Fitting of Patterned Superlattice StructuresInitial testing found that the average thin film thicknesses of the Si and could not be floated independently due to the lack of convergence in the thickness results when fitting was done using a local lab workstation computer. To address the number of floating parameters, two potential types of strategies were evaluated. First, a floating thin film thickness model strategy was assessed. This model floats a single average superlattice layer thickness where the Si and thickness values are paired by material type. A second model fed forward film thicknesses from an adjacent scribe line thin film measurement. The advantage of the first model strategy is that only a single scatterometry measurement is needed. However, the second model strategy reduces the number of floating parameters based on a more accurate measurement (note that this limitation was subsequently removed later using a pre-calculated library of spectra to decrease the fit time per iteration; the reduction in iteration time from hours to seconds allowed thickness result convergence by increasing the number of iterations significantly). Two-dimensional correlation matrices were created for fixed and floating film thickness strategies. These show correlation factors46 between pairs of floating variables such as hard mask thickness and Si over-etch. Correlation factors determine the ability of the simulated change in spectra due to a change in one variable to be interpreted in a change in another variable.47 Results indicate a high correlation between hole length and sidewall angle, as well as elevated correlations between cavity etch and sidewall angle/hole length. Matrices were calculated at nominal dimensions at and measurement azimuth angle of 30 deg (Fig. 11). Strategy 1 shows that measurements utilizing only a single site scatterometry data are possible; however, accuracy can be limited. XRD and ellipsometry measurements of the thin film sample and scribe lines areas indicate that a significant offset in the average thickness exists between the Si and layers. Therefore, strategy 2 was chosen as a standard fit methodology. A more ideal implementation of strategy 2 would be to fix individual layer thicknesses based on XRD results. This may be possible in the future using small spot XRD measurements on thin film targets or scribe lines; however, this was not available to the group. Small spot XRD could increase model accuracy by finding an accurate thickness and composition of each superlattice stack layer and then feeding forward the information into the optical model. 4.3.OCD Fitting of NWTS DataCavity etch sensitivity was observed in the spectra of the 0E, 10E, and 20E samples. A difference in M34 was observed through every measured azimuth angle for the set of three samples for all dies. A clear shift in the 10E and 20E MM34 spectra can be observed through azimuth angle. Note that the large shift in the 0E versus 10E and 20E samples is due to the hard mask, which is in the 0E and less than 20 nm for the 10E and 20E samples (Fig. 12). Datasets were fitted through azimuth angle using the model described in Sec. 4.1. All MM elements were fit for each dataset. MMSE scatterometry data obtained at non-symmetric azimuth angles (15, 30, 45, 60, and 75 deg) were used so that all datasets would have a signal in the off-diagonal elements. A significant difference in the fit results was observed through azimuth angle. The fit value for cavity etch for measurements at the same site was observed to have a parabolic dependency to the measurement azimuth angle. Initially, the shape factor or roundness of the oval was evaluated to determine if a systematic difference between the assumed and real shape existed. This could cause an azimuth angle–dependent mismatch. However, the fit converged to a shape factor of 1.48, and scanning electron microscope (SEM) image of the 0E sample confirmed shape matching. Also, critical dimension scanning electron microscope (CDSEM) data of the 0E samples showed that the model and image shape factors matched. Later, STEM data indicate this may be due to inexact matching between the model and physical NWTS structure, where the cavity etch was measured to be slightly larger in the direction. This is discussed in comparison with STEM data in Sec. 4.8. To reduce the azimuth angle dependency, a dual-angle dataset fitting approach was utilized in addition to single azimuth fits. This paired two MMSE spectra taken at 15 and 75 deg to a single model. Comparison through-azimuth angle results show that a mean 2.8- (dual azimuth fit) to 3.8-nm (single azimuth fit) difference can be observed between the 10E and 20E samples. Azimuth angle was floated in the model, because of the angle uncertainty involved in measuring a cleaved quarter wafer taped to a standard 300-mm wafer. Fits using data from additional azimuth angles may increase accuracy; however, this would add significant calculation time. The dual-azimuth approach was used to fit radial data from die 1 through die 5. Radial cavity etch trend results are discussed in Sec. 4.6 and plotted in Fig. 13(b). 4.4.OCD Limitations: Detectability of Step ChangesThe detectability of step changes in an OCD model is dependent on their effect on the spectral response. The key minimized parameter is the MSE, which is the square of the per-wavelength difference between the scatterometry measurement and the RCWA-generated OCD model. The relative values of detectability can be calculated as the increased error due to a fit variable step away from a nominal best fit model geometry or a step squared error (stSE) instead of the MSE, which is defined between the measurement and best fit, the stSE is defined between the best fit and a model generated at a fit variable step away from the best fit (e.g., thickness or sidewall angle change). The advantage of defining a variable relative to a best fit or nominal geometry is that this performance index can be generated for theoretical structures that do not have corresponding optical data. Equation (2) and step square error, where denotes elements and denotes an individual wavelength. The stSE index was calculated for all geometry-related fit parameters in the model. These are the cavity etch, hole bottom length, hole bottom width, Si over-etch depth, hard mask thickness, sidewall angle, and tilt. Limits for low and good detectability were based on the signal noise in the off-diagonal elements of the superlattice film sample. Off diagonal MM elements should not have a response of 0 for isotropic films, so responses in these elements were assumed to be due to total tool and sample measurement noise. The square error deviation of the noise was calculated for each element. Low detectability was estimated using the mean of the square error, and good detectability was defined as being above the mean plus three times the standard deviation of the square error. These values were used to color code the values in a step square error table. Based on the step square error table, the sidewall angle causes the greatest square error change due to a 1-deg step. Y tilt has extremely low detectability; however, it was kept in the model because it is the only fit variable that could improve off-diagonal fitting between the top right and bottom left quadrant elements. OCD models floating tilt had slightly improved performance compared with models with tilt fixed at zero. Other variables were found to have detectability in at least the N, C, and S elements (Fig. 14). 4.5.OCD Limitations: Sensitivity Relative to Systematic ErrorTo understand the relative magnitudes of the spectral change due to each fit variable, the step square error can be normalized to the systematic model to best fit error. This gives a sensitivity index, where a sensitivity to a fit variable step relative to the systematic measurement delta can be calculated where: . Step square error responses that are a significant fraction of the model to best fit square error are ideal. Sensitivity was calculated for each fit variable, and element and is summarized in Fig. 15. Values are colored by fraction, where green represents when the step square error is at least 1/4th of the best fit sum of the square error. This index can show potential room model improvements by comparing the corresponding off-diagonal elements, which should mirror each other. For example, the difference between sensitivities of MM23 and MM32 for tilt shift indicates that the model may have additional off-diagonal asymmetries that are not being modulated by the current set of fit variables. Both the detectability and sensitivity indices can be generalized and applied to any set of MM elements, for any fit variable step in an OCD model. 4.6.XRF Results and LimitationsThe nanometer value of the cavity etch was estimated by approximating the geometry of the target based on top-down SEM images. Because the peak intensity is proportional to the volume lost, this can be derived by a simple geometric relationship where intensity loss can be used to estimate the amount of cavity etch.15 This relationship uses the assumption that geometry is a simple grating structure consisting ovals with initial major and minor axis lengths of and and pitches A and B. Initial dimensions were based on SEM images of the 0E sample which was used to find the pre-cavity etch reference intensity . The final post-cavity etch intensity was based on XRF measurements at 40- and 60-deg angles of incidence for both 10E and 20E samples. Equation (3) (a) Relation of Germanium volume to XRF Ge peak intensity for the reference and final pos-etch sample and (b) estimation of the degree of cavity etch as a function of intensity loss. A and B are the and dimensions of period of the grating, and a and b are the oval semi-major and semi-minor axis radius lengths, respectively. The amount of cavity etch was calculated from the intensity loss of the 9.89-eV Ge peak, 20E and 0E samples, and 10E and 0E samples. Ideally, this measurement would be done on the same sample pre- and post-cavity etch; however, this was not possible due to logistical issues. Cavity etch for the 20E die 1 sample was calculated to be 17.9 and 17.6 nm when measured at incident angles of 40 and 60 deg, respectively. The 10E die 1 sample had 15.8 nm and 15.6 nm of cavity etch when measured at 40 and 60 deg incident angles, respectively. Both the 10E and 20E quarter wafer samples were measured using XRF from dies 1 to 5, with die 1 closest to the wafer edge and die 5 closest to the center of the original 300-mm wafer. Radial OCD dual-azimuth angle fits were compared with average XRF results per die. A radially decreasing cavity etch trend was observed for both 10E and 20E. However, the XRF results are slightly higher by 1 to 2 nm than the OCD results. Also, OCD shows an elevated die 4 10E cavity etch value. This was observed as an increase in the distribution of the die 4 values in the XRF data and could be due to a non-ideality in processing issue toward the wafer center. Cavity etch was done on the cleaved quarter wafer samples inside a standard 300-mm etch chamber. The elevated cavity etch values may be due to a local hard mask thickness non-uniformity in the 10E die 4 sample. The OCD fits show a corresponding hard mask thickness dip in 10E die 4, instead of a radial increase from die 1 to die 5 observed for the 20E sample. The simplified straight-column geometry assumed based on the SEM dimensions is a limitation to the accuracy of XRF. A more accurate way to determine geometry would be to account for sidewall angle based on measurements from FIB-prepared TEM images. However, this would require destructive measurements. For a well-established process, the model could be improved by accounting for an assumed sidewall angle (Fig. 16). 4.7.FIB Prepared STEM SamplesLamellar samples from the oval target area were extracted and thinned via FIB as described in Sec. 2.6. These were taken from a set of 10E and 20E die that were adjacent to each other before cleaving. Initial samples were taken from die 1 of the 10E and 20E samples and were coated with a hafnium oxide () protective layer before amorphous carbon fill. Energy dispersive spectroscopy (EDS) images of these samples seemed to indicate an conformal growth before a thin layer. However, simulating this layer in the OCD models caused a significant mismatch based on square error (MSE). To understand if the layer existed during OCD Scatterometry measurements, a new set of samples was coated with an atomic layer deposition (ALD) aluminum oxide () conformal layer instead of . These were taken from die 1 of the 10E and 20E samples. EDS measurements indicated that the conformal layer was instead of over . The -coated measurements were used as the new reference STEM samples for cavity etch. Later investigation indicated that the initial coater recipes contained steps that could result in oxidation before the addition of the hafnium (Fig. 17). The cavity etch was measured from a connecting line drawn between the edges of the Si layers to the middle of the indent. Eight values were obtained for each image at 640kX, and three images were analyzed in each direction of the cutaways. High angle annular darkfield (HAADF) STEM images were analyzed because of better contrast between the structure and protective conformal layer. The average value of the cavity etch was 14 nm for the 10E samples and 16.7 nm for the 20E samples (Fig. 18). Analysis of the images found that cavity etch values were not completely uniform relative to the edge of the Si layers. A 5.1-nm three-sigma standard deviation can be observed in the STEM measurements, and a range of 7 nm was observed over both 10E and 20E samples. Two systematic differences were observed. First, the average cut cavity etch values were greater than the cut cavity. This trend can be observed for both 10E and 20E samples in Fig. 19(a). This may explain the parabolic cavity etch dependence through-azimuth angle fits observed in Fig. 20. This was not integrated into the OCD model because it would require increasing the number of floating variables; however, future models may explore the potential ability to differentiate between and cavity etch. The second effect was that the amount of cavity etch decreased at higher layers in the stack. This was observed as a 0.5-nm per layer and 0.8-nm per layer cavity etch layer dependence for the 10E and 20E, respectively, for die 1. OCD modeling accounted for this change using a per-layer linear slope offset of 0.05 nm. This was implemented as a fixed parameter in the OCD model to limit the number of floating variables. 4.8.OCD and XRF Metrology Matching to STEM ReferenceCavity etch results of the non-destructive scatterometry and XRF methodologies were compared with the STEM reference measured on the same die. XRF showed matching within 2 nm to the STEM results. The relatively significant difference may be due to the inaccuracy of the reference, and simplifying assumptions used in the model. Ideally, the reference intensity would be measured in the same location pre, and post-cavity etch. However, the reported results are based on a 0E coupon sample that was cleaved and measured at the column etch step, with separate 20E and 10E sample die being cavity etched and remeasured. Also, a geometric model with a straight sidewall approximation was used to convert intensity loss to cavity etch. This was done because sidewall angles are not readily extracted from top down SEM of the etched superlattice stack, whereas hole dimensions are simple to measure. Cavity etch results for MMSE-based scatterometry showed matching to the STEM reference for the 20E sample within 0.3 nm for dual-azimuth angle fit, and 0.7 nm from the single-azimuth fit average. The OCD measurement mean matching to the STEM reference is in the order of magnitude of a Si lattice constant of 0.543 nm (Fig. 21). 5.ConclusionsNon-destructive XRD, XRF, and MMSE metrology superlattice stacks and patterned structures were evaluated and the limits of each measurement type were explored. A set of four-layer superlattice NWTS samples were prepared and measured via MMSE scatterometry and TEM after the thin film deposition, column etch and two levels of cavity etch. XRD was utilized to determine the individual layer thicknesses of the superlattice, as well as to verify the epitaxially strained superlattice. This informed the optical model for RCWA-based MMSE scatterometry. An ellipsometry-determined thin film plus scatterometry combined technique was utilized to reduce the thin film–related correlation of floating variables. XRD simulations showed that systematic thickness offsets were distinguishable based on the location of superlattice peaks. Also, a linear GOF increase as fit variables are stepped away from the best fit condition can be used to characterize a GOF-based measurability limit. NWTS-like stacks are expected to have resolution-based detectability, which simulation shows fringes for layer stacks. However, thicker 3D DRAM–like stacks lose resolution detectability when the superlattice fringe spacing divided by the number of superlattice layers becomes lower than the broadening inherent in the X-ray source. Superlattice peak intensity normalized by the Si substrate peak intensity was found to correlate well with the number of superlattice layers in a simulation stack. Spectroscopic ellipsometry fits using a wavelength-by-wavelength model of the superlattice stack as a single effective medium layer observed the presence of a broadening between 2 and 2.5 eV, which lowers the band gap and a critical point observed around 3 eV.21 XRF was shown to match STEM within 2 nm, based on a simplified geometric approximation to convert the fluorescence peak intensity loss to a nanometer value for cavity etch. This model assumes a simplification of a vertical sidewall so that only top-down SEM is needed to establish the reference measurement. An OCD model implementing both primary and secondary process effects was used to achieve a matching of 0.7 nm for single azimuth angle dataset fits. Primary process effects which result in changes to etch depth, hole geometry, and cavity etch needed to be supplemented with secondary effects such as column etch bottom curvature, cavity etch curvature, and a linear cavity etch offset through stack height. A through-azimuth angle dependency was found in key fitting variables, especially cavity etch. A parabolic dependence was found for the non-symmetric azimuth angles. This may be due to differences in the and cavity etch observed in STEM images of FIB cross sections. A dual-measurement azimuth model was implemented to increase the amount of fitted data to get a more representative cavity etch value and resulted in the mean matching of 0.3 nm between STEM and MMSE scatterometry. AcknowledgmentsThe authors thank Kevin Musick, Thomas Murray, and Vidya Kaushik for the TEM/STEM data. ReferencesInternational Roadmap for Devices and Systems: Metrology, Institute of Electrical and Electronics Engineers(
(2022). Google Scholar
International Roadmap for Devices and Systems: More Moore, Institute of Electrical and Electronics Engineers(
(2022). Google Scholar
N. G. Orji et al.,
“Metrology for the next generation of semiconductor devices,”
Nat. Electron., 1
(10), 532
–547 https://doi.org/10.1038/s41928-018-0150-9 NEREBX 0305-2257
(2018).
Google Scholar
N. Loubet et al.,
“A novel dry selective etch of SiGe for the enablement of high performance logic stacked gate-all-around nanosheet devices,”
in IEEE Int. Electron Devices Meeting (IEDM),
11.4.1
–11.4.4
(2019). https://doi.org/10.1109/IEDM19573.2019.8993615 Google Scholar
X. Cheng et al.,
“4-levels vertically stacked SiGe channel nanowires gate-all-around transistor with novel channel releasing and source and drain silicide process,”
Nanomaterials, 12
(5), 889 https://doi.org/10.3390/nano12050889
(2022).
Google Scholar
J. Li et al.,
“Study of selective isotropic etching in process of nanowire transistors,”
J. Mater. Sci.: Mater. Electron., 31
(1), 134
–143 https://doi.org/10.1007/s10854-019-02269-x JSMEEV 0957-4522
(2020).
Google Scholar
D. Schmidt et al.,
“Development of SiGe indentation process control for gate-all-around FET technology enablement,”
IEEE Trans. Semicond. Manufact., 35
(3), 412
–417 https://doi.org/10.1109/TSM.2022.3168585 ITSMED 0894-6507
(2022).
Google Scholar
H. Jun et al.,
“HBM (high bandwidth memory) DRAM technology and architecture,”
in IEEE Int. Memory Workshop (IMW),
(2017). https://doi.org/10.1109/IMW.2017.7939084 Google Scholar
J. C. Lee et al.,
“High bandwidth memory (HBM) with TSV technique,”
in Int. SoC Design Conf. (ISOCC),
181
–182
(2016). Google Scholar
S. H. Lee et al.,
“3-D stacked polycrystalline-silicon-MOSFET-based capacitorless DRAM with superior immunity to grain-boundary’s influence,”
Sci. Rep., 12
(1), 14455 https://doi.org/10.1038/s41598-022-18682-y SRCEC3 2045-2322
(2022).
Google Scholar
A. Belmonte et al.,
“Capacitor-less, long-retention (>400s) DRAM cell paving the way towards low-power and high-density monolithic 3D DRAM,”
in IEEE Int. Electron Devices Meeting (IEDM),
28.2.1
–28.2.4
(2020). https://doi.org/10.1109/IEDM13553.2020.9371900 Google Scholar
J. S. Shin et al.,
“Vertical-gate Si/SiGe double-HBT-based capacitorless 1T DRAM cell for extended retention time at low latch voltage,”
IEEE Electron. Device Lett., 33
(2), 134
–136 https://doi.org/10.1109/LED.2011.2174025 EDLEDZ 0741-3106
(2012).
Google Scholar
E. M. B. Pasikatan et al.,
“Superlattice effects and limitations of non-destructive measurement of advanced superlattice structures using Mueller matrix scatterometry (MMSE) and high-resolution X-ray diffraction (XRD),”
Proc. SPIE, 12955 129550K https://doi.org/10.1117/12.3010523 PSISDG 0277-786X
(2024).
Google Scholar
M. Korde et al.,
“Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry,”
J. Vac. Sci. Technol. B, 38
(2), 024007 https://doi.org/10.1116/1.5136291
(2020).
Google Scholar
J. Bogdanowicz et al.,
“Model-free measurement of lateral recess in gate-all-around transistors with micro hard-X-ray fluorescence,”
J. Micro/Nanopatterning Mater. Metrol., 22
(3), 034001 https://doi.org/10.1117/1.JMM.22.3.034001
(2023).
Google Scholar
R. Ciesielski et al.,
“Pushing the boundaries of EUV scatterometry: reconstruction of complex nanostructures for next-generation transistor technology,”
Proc. SPIE, 12496 124961M https://doi.org/10.1117/12.2658501 PSISDG 0277-786X
(2023).
Google Scholar
M. Tanksalvala et al.,
“Nondestructive, high-resolution, chemically specific 3D nanostructure characterization using phase-sensitive EUV imaging reflectometry,”
Sci. Adv., 7
(5), eabd9667 https://doi.org/10.1126/sciadv.abd9667 STAMCV 1468-6996
(2021).
Google Scholar
M. S. Korde et al.,
“Optical characterization of multi-NST nanowire test structures using Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry for sub 5nm nodes,”
Proc. SPIE, 10959 109590X https://doi.org/10.1117/12.2514748 PSISDG 0277-786X
(2019).
Google Scholar
G. Abstreiter,
“Chapter 2 Band gaps and light emission in Si/SiGe atomic layer structures,”
Semiconductors and Semimetals, 49 37
–76 Elsevier(
(1997). Google Scholar
U. Gnutzmann and K. Clausecker,
“Theory of direct optical transitions in an optical indirect semiconductor with a superlattice structure,”
Appl. Phys., 3
(1), 9
–14 https://doi.org/10.1007/BF00892328 APPYEK 1080-9198
(1974).
Google Scholar
A. Attiaoui et al.,
“Electronic signature of subnanometer interfacial broadening in heterostructures,”
Nano Lett., 22
(17), 7080
–7086 https://doi.org/10.1021/acs.nanolett.2c02042 NALEFD 1530-6984
(2022).
Google Scholar
J.-L. Rouviere et al.,
“Improved strain precision with high spatial resolution using nanobeam precession electron diffraction,”
Appl. Phys. Lett., 103
(24), 241913 https://doi.org/10.1063/1.4829154 APPLAB 0003-6951
(2013).
Google Scholar
A. Béché et al.,
“Strain measurement at the nanoscale: Comparison between convergent beam electron diffraction, nano-beam electron diffraction, high resolution imaging and dark field electron holography,”
Ultramicroscopy, 131 10
–23 https://doi.org/10.1016/j.ultramic.2013.03.014 ULTRD6 0304-3991
(2013).
Google Scholar
J. Munshi et al.,
“Disentangling multiple scattering with deep learning: application to strain mapping from electron diffraction patterns,”
NPJ Comput. Mater., 8
(1), 254 https://doi.org/10.1038/s41524-022-00939-9
(2022).
Google Scholar
S. P. Hepplestone and G. P. Srivastava,
“Lattice dynamics of silicon nanostructures,”
Nanotechnology, 17
(13), 3288
–3298 https://doi.org/10.1088/0957-4484/17/13/035 NNOTER 0957-4484
(2006).
Google Scholar
V. K. Kamineni and A. C. Diebold,
“Electron-phonon interaction effects on the direct gap transitions of nanoscale Si films,”
Appl. Phys. Lett., 99
(15), 151903 https://doi.org/10.1063/1.3650470 APPLAB 0003-6951
(2011).
Google Scholar
H. Brugger et al.,
“Dispersion of folded phononsin superlaitices,”
Superlattices Microstruct., 2
(5), 451
–454 https://doi.org/10.1016/0749-6036(86)90010-8 SUMIEK 0749-6036
(1986).
Google Scholar
D. K. Bowen and B. K. Tanner, X-Ray Metrology in Semiconductor Manufacturing, CRC Press(
(2018). Google Scholar
D. K. Bowen and B. K. Tanner, High Resolution X-Ray Diffractometry and Topography, Taylor & Francis, London; Bristol, Pennsylvania
(1998). Google Scholar
G. Raja Muthinti et al.,
“Effects of stress on the dielectric function of strained pseudomorphic alloys from 0 to 75% Ge grown on Si (001),”
J. Appl. Phys., 112
(5), 053519 https://doi.org/10.1063/1.4751275 JAPIAU 0021-8979
(2012).
Google Scholar
G. Theodorou, P. C. Kelires and C. Tserbak,
“Structural, electronic, and optical properties of strained alloys,”
Phys. Rev. B, 50
(24), 18355
–18359 https://doi.org/10.1103/PhysRevB.50.18355
(1994).
Google Scholar
C. Ryang Wie,
“High resolution X-ray diffraction characterization of semiconductor structures,”
Mater. Sci. Eng.: R: Rep., 13
(1), https://doi.org/10.1016/0927-796X(94)90008-6
(1994).
Google Scholar
P. F. Fewster,
“Superlattices,”
X-Ray and Neutron Dynamical Diffraction: Theory and Applications, 289
–299 Springer US, Boston, Massachusetts
(1996). Google Scholar
Z. Kong et al.,
“Multiple SiGe/Si layers epitaxy and SiGe selective etching for vertically stacked DRAM,”
J. Semicond., 44
(12), 124101 https://doi.org/10.1088/1674-4926/44/12/124101
(2023).
Google Scholar
A. Laskarakis et al.,
“Mueller matrix spectroscopic ellipsometry: formulation and application,”
Thin Solid Films, 455–456 43
–49 https://doi.org/10.1016/j.tsf.2003.11.197 THSFAP 0040-6090
(2004).
Google Scholar
E. Garcia-Caurel et al.,
“Application of spectroscopic ellipsometry and Mueller ellipsometry to optical characterization,”
Appl. Spectrosc., 67
(1), https://doi.org/10.1366/12-06883 APSPA4 0003-7028
(2013).
Google Scholar
W. Lee and F. L. Degertekin,
“Rigorous coupled-wave analysis of multilayered grating structures,”
J. Lightwave Technol., 22
(10), 2359
–2363 https://doi.org/10.1109/JLT.2004.833278 JLTEDG 0733-8724
(2004).
Google Scholar
M. G. Moharam et al.,
“Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings,”
J. Opt. Soc. Am. A, 12
(5), 1068
–1076 https://doi.org/10.1364/JOSAA.12.001068 JOAOD6 0740-3232
(1995).
Google Scholar
M. G. Moharam and T. K. Gaylord,
“Rigorous coupled-wave analysis of planar-grating diffraction,”
J. Opt. Soc. Am., 71
(7), 811 https://doi.org/10.1364/JOSA.71.000811 JOSAAH 0030-3941
(1981).
Google Scholar
J. H. Hubbell and S. M. Seltzer,
“NIST standard reference database 126: tables of X-ray mass attenuation coefficients and mass energy-absorption coefficients from 1 keV to 20 MeV for elements Z = 1 to 92 and 48 additional substances of dosimetric interest,”
(2004). Google Scholar
G. F. Harrington and J. Santiso,
“Back-to-basics tutorial: X-ray diffraction of thin films,”
J. Electroceram., 47
(4), 141
–163 https://doi.org/10.1007/s10832-021-00263-6 JOELFJ 1385-3449
(2021).
Google Scholar
C. M. Herzinger et al.,
“Ellipsometric determination of optical constants for silicon and thermally grown silicon dioxide via a multi-sample, multi-wavelength, multi-angle investigation,”
J. Appl. Phys., 83
(6), 3323
–3336 https://doi.org/10.1063/1.367101 JAPIAU 0021-8979
(1998).
Google Scholar
S. Kluska et al.,
“The optical and thermo-optical properties of non-stoichiometric silicon nitride layers obtained by the PECVD method with varying levels of nitrogen content,”
Materials, 15
(6), 2260 https://doi.org/10.3390/ma15062260 MATEG9 1996-1944
(2022).
Google Scholar
M. Smietana, W. J. Bock and J. Szmidt,
“Evolution of optical properties with deposition time of silicon nitride and diamond-like carbon films deposited by radio-frequency plasma-enhanced chemical vapor deposition method,”
Thin Solid Films, 519
(19), 6339
–6343 https://doi.org/10.1016/j.tsf.2011.04.032 THSFAP 0040-6090
(2011).
Google Scholar
CompleteEASE Software Manual, J.A. Woollam Co., Inc(
(2020). Google Scholar
J. L. Rodgers and W. A. Nicewander,
“Thirteen ways to look at the correlation coefficient,”
Google Scholar
P. Vagos et al.,
“Uncertainty and sensitivity analysis and its applications in OCD measurements,”
Proc. SPIE, 7272 72721N https://doi.org/10.1117/12.814363 PSISDG 0277-786X
(2009).
Google Scholar
BiographyEzra Pasikatan is a PhD candidate at the University of Albany College of Nanoscale Science and Engineering, studying characterization and metrology of 3D semiconductor structures. He obtained a master’s degree in nanoscale engineering at SUNY Poly in 2022 and has a bachelor’s degree in chemical engineering from Oregon State University. Before going to graduate school, he spent eight years in the semiconductor industry as an engineer at ASML and Wafertech (TSMC Fab 11). |