While great advances have been made to move extreme ultraviolet (EUV) lithography toward manufacturing readiness, a difficult challenge remains. Although standard chemically amplified resists (CAR) can be exposed with EUV, these materials struggle to achieve resolution targets and are not nearly as sensitive as those created for ArF exposure. Non-CAR resists, such as metal-oxide resists, offer an alternative that achieve both EUV resolution and sensitivity targets. However, the inclusion of metal oxides poses a challenge to traditional filtration designed to remove unwanted dissolved contaminants from the fluid stream. Ultrahigh molecular weight polyethylene (UPE) filters have been used for metal oxide EUV resist filtration because of high retention efficiency and excellent photochemical compatibility. This paper describes our joint effort to improve Inpria metal oxide EUV resist defectivity usingfiltration optimization. A study comparing various Point-of-Use (POU) filters was conducted to identify filtration solutions that reduce defects in Inpria metal-oxide EUV resist coatings. Several filters utilizing a variety of retention ratings and membrane designs were installed on a TEL Clean TrackTM ActTM 12. A metal oxide EUV resist was filtered and coated on wafers that were subsequently analyzed for total wet particle counts. This study presents the efficacy of optimized filtration design to reduce defects in metal oxide EUV resists and provides a recommendation to achieve low wafer coating defects.
Inpria has pioneered the development of high-resolution metal oxide (MOx) photoresists designed to unlock the full potential of EUV lithography. In addition to resolution, LWR, and sensitivity to enable advanced process nodes, there are also stringent defectivity requirements that must be realized for any resist system. We will review advances in post-etch defectivity based on: resist design and formulation, track process design, developer design, and etch optimization. We will present data supporting each of these topics quantifying the defect impact and will describe improvement strategies to take full advantage of such MOx resist systems.
In response to the growing demand for Inpria MOx EUV resists, we have brought online our high-volume manufacturing (HVM) production line, have built the necessary supporting qualified supply chain and have developed the associated quality systems. In this paper we will discuss challenges we overcame in scaling and will review data demonstrating new achievements in reproducibility and stability of MOx photoresists. We will also present recent advances in integrated patterning capabilities for specific use cases of Inpria resists in logic and memory applications on the NXE:3400 as well as an update of resist performance on the EUREKA MET5.
Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.
KEYWORDS: Metals, Photoresist materials, Etching, Extreme ultraviolet lithography, Optical lithography, Resistance, Back end of line, Photoresist processing, Lithography, System on a chip, Photomasks, Extreme ultraviolet, Semiconducting wafers
Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.
KEYWORDS: Metals, Etching, Photoresist materials, Oxides, Extreme ultraviolet lithography, Extreme ultraviolet, Absorbance, Line width roughness, System on a chip, Tin
Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.
Inpria is pioneering a novel approach to EUV photoresist. Directly patternable metal oxide thin films have shown resolution better than 10nm half-pitch, with robust etch resistance, and efficient use of photons through high EUV absorbance. Inpria’s Gen2 photoresists are cast from commonly used organic coating solvents and are developed in typical negative tone develop (NTD) organic solvents. This renders them compatible with CLEAN TRACK LITHIUS Pro-EUV coater/developer system (Tokyo Electron Limited; TEL) and solvent drains. The presence of metal in the photoresist demands additional scrutiny and process development to minimize contamination risks to other tools and wafers. In this paper, we review progress in developing coat processes that reduce metal contamination levels below typical industry levels. We demonstrate minimization of trace metals contamination from wafer-to-coater/developer, and wafer-to-wafer from the spin coat process. This will also include results from surface analyses of frontside edge exclusion and backside of wafer using best-known analytical methods. In addition, we discuss results of coat uniformity and defectivity optimization. Wet clean compatibility and dry etch rate by using conventional Si-ARC/OPL etching recipe will also be presented. In conjunction with this work, we identify potential contamination pathways and means for managing contamination risk. We furthermore review equipment compatibility issues for using Inpria’s metal oxide photoresists.
A baseline coat-develop track process has been established for inorganic EUV resists. Inorganic EUV resists have
already been highlighted for their higher resolution and lower Line-Width-Roughness (LWR) for lithography features as
well as strong etch resistance [1], [2], [3], [4]. This inorganic resist system is not only interesting due to lithography
process capability but also do to its influences on coat-develop track processing. It is understood that this inorganic resist
system is dissolved in an aqueous solution and therefore has the different characteristics compared to typical polymer
photoresist in organic solvent.
Spin coating this aqueous resist solution leads to several challenges beyond the traditional aqueous Top Anti-Reflective
Coat (TARC) materials used decades ago. Resist spin coating systems have continuously improved over the years based
on polymer photoresists, therefore it becomes necessary to confirm if the latest coat module design and processes are
equally applicable to aqueous resists targeted for EUV lithography. Another characteristic of this inorganic system it is
not a chemical amplified resist. Post-Applied Bake (PAB), Post-Exposure Bake (PEB) and develop processes are
compared with current polymer photoresist process. In this study, a coat-develop track process baseline is established for
metrics such as film thickness uniformity, critical dimension (CD) uniformity and process defectivity. Based on this
baseline data areas for improvement in coat-develop track process are identified to enable inorganic resist transition to
volume production with EUV or E-Beam lithography.
This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm
half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam
exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable
resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New
materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity,
metal-impurity content, outgassing, pattern transfer, and resist strip.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.