In the current paper we are addressing three questions relevant for accuracy: 1. Which target design has the best performance and depicts the behavior of the actual device? 2. Which metrology signal characteristics could help to distinguish between the target asymmetry related overlay shift and the real process related shift? 3. How does uncompensated asymmetry of the reference layer target, generated during after-litho processes, affect the propagation of overlay error through different layers? We are presenting the correlation between simulation data based on the optical properties of the measured stack and KLA-Tencor’s Archer overlay measurements on a 28nm product through several critical layers for those accuracy aspects.
According to the ITRS roadmap [1], the overlay requirement for the 28nm node is 8nm. If we compare this number with the performance given by tool vendors for their most advanced immersion systems (which is < 3nm), there seems to remain a large margin. Does that mean that today’s leading edge Fab has an easy life? Unfortunately not, as other contributors affecting overlay are emerging. Mask contributions and so-called non-linear wafer distortions are known effects that can impact overlay quite significantly. Furthermore, it is often forgotten that downstream (post-litho) processes can impact the overlay as well. Thus, it can be required to compensate for the effects of subsequent processes already at the lithography operation. Within our paper, we will briefly touch on the wafer distortion topic and discuss the limitations of lithography compensation techniques such as higher order corrections versus solving the root cause of the distortions. The primary focus will be on the impact of the etch processes on the pattern placement error. We will show how individual layers can get affected differently by showing typical wafer signatures. However, in contrast to the above-mentioned wafer distortion topic, lithographic compensation techniques can be highly effective to reduce the placement error significantly towards acceptable levels (see Figure 1). Finally we will discuss the overall overlay budget for a 28nm contact to gate case by taking the impact of the individual process contributors into account.
Overlay specifications are tightening with each lithography technology node. As a result, there is a need to improve
overlay control methodologies to make them more robust and less time- or effort-consuming, but without any
compromise in quality. Two concepts aimed at improving the creation of scanner grid recipes in order to meet evertightening
overlay specifications are proposed in this article. Simulations will prove that these concepts can achieve both
goals, namely improving overlay control performance and reducing the time and effort required to do so. While more
studies are needed to fine-tune the parameters to employ, the trends presented in this paper clearly show the benefits.
Chip manufacturing with multilayer reticles offers the possibility to reduce reticle cost at the expense of scanner
throughput, and is therefore an attractive option for small-volume production and test chips. Since 2010,
GLOBALFOUNDRIES Fab 1 uses this option for the 28nm IP shuttles and test chips offered to their customers for
development and advance testing of their products. This paper discusses the advantages and challenges of this approach
and the practical experience gained during implementation. One issue that must be considered is the influence of the
small image field and the asymmetric reticle illumination on the lithographic key parameters, namely layer to layer
overlay. Theoretical considerations and experimental data concerning the effects of lens distortion, lens heating, and
reticle heating on overlay performance are presented, and concepts to address the specific challenges of multilayer
reticles for high-end chip production are discussed.
KEYWORDS: Photomasks, Critical dimension metrology, Signal to noise ratio, Principal component analysis, Process control, Image registration, Electron beam melting, Error analysis, Lithography, Tolerancing
Current high end chips require an extremely precise fabrication of lithographic masks. Some of the most critical
parameters are the placement of structures on the masks as well as their dimensional tolerances. Improving these two key
parameters has always been one of the central objectives of the Advanced Mask Technology Center (AMTC). To this
end, the AMTC has complemented its process development by a set of enhancement schemes which are used to
compensate residual process signatures. In this paper, improvements achieved in the area of CD uniformity (CDU) and
pattern placement are shown. The correction schemes take first principle considerations as well as empirical findings into
account. Based on this, a set of design and process parameters is used to determine the spatial corrections which will
optimize mask quality parameters. This enables the AMTC to tailor the writing parameters to the needs of each mask
design. Latest results for the 32nm technology show that values as low as 5nm image placement error and 3nm CDU can
be reached at the same time.
Nowadays, high end photomasks are usually patterned with electron beam writers since they provide a superior
resolution. However, placement accuracy is severely limited by the so-called charging effect: Each shot with the
electron beam deposits charges inside the mask blank which deflect the electrons in the subsequent shots and
therefore cause placement errors. In this paper, a model is proposed which allows to establish a prediction of
the deflection of the beam and thus provide a method for improving pattern placement for photomasks.
Double patterning (DPT) lithography is seen industry-wide as an intermediate solution for the 32nm node if high index
immersion as well as extreme ultraviolet lithography are not ready for a timely release for production. Apart from the
obvious drawbacks of additional exposure, processing steps and the resulting reduced throughput, DPT possesses a
number of additional technical challenges. This relates to, e.g., exposure tool capability, the actual applied process in the
wafer fab but also to mask performance and metrology. In this paper we will address the mask performance.
To characterize the mask performance in an actual DPT process, conventional parameters need to be re-evaluated.
Furthermore new parameters might be more suitable to describe mask capability. This refers to, e.g., reticle to reticle
overlay but also to CD differences between masks of a DPT reticle set. A DPT target of reticle to reticle induced overlay
of 6nm, 3σ at mask level was proposed recently for the 32nm node. The results show that this target can be met.
Besides that, local CD variations and local displacement become critical. Finally, the actual mask metrology for
determination of these parameters might not be trivial and needs to be set up and characterized properly. In this paper
we report on the performance of two-reticle sets based on a design developed to study the impact of mask global and
local placement errors on a DPT dual line process.
In a first step we focus on reticle to reticle overlay. The overlay between two masks evaluated for different wafer
overlay targets is compared with measurements on actual resolution structures. In a second step, mask to mask CD
variations are addressed. Off-target CD differences as well as variations of CD signatures on both reticles of a set are
investigated. Finally, local CD variations and local displacements are examined. To this aim, local variations of adjacent
structures on the reticle are characterized. The contribution of local effects to the overall CD and registration budget is
estimated.
Today's semiconductors consist of up to forty structured layers which make up the electric circuit. Since the
market demands more powerful chips at minimal cost, the structure size is decreased with every technology
node. The smaller the features become, the more sensitive is the functional effciency of the chip with respect to
placement errors. One crucial component for placement errors is the mask which can be viewed as a blueprint of
the layer's structures. Hence, placement accuracy requirements for masks are also tightening rapidly. These days,
mask shops strive for improving their positioning performance. However, more and more effort is required which
will increase the costs for masks. Therefore, the transfer of mask placement errors onto the wafer is analyzed in
order to check the guidelines which are used for deriving placement error specifications.
In the first section of this paper the basic concepts for measuring placement errors are provided. Then, a method
is proposed which is able to characterize the transfer of placement errors from mask to wafer. This is followed
by two sections giving a thorough statistical analysis of this method. In the fifth section, the connection to
placement accuracy specifications on mask and wafer is established. Finally, the method is applied to a set of
test masks provided by AMTC and printed by AMD.
As a consequence of the shrinking sizes of the integrated circuit structures, the overlay budget shrinks as well. Overlay is
traditionally measured with relatively large test structures which are located in the scribe line of the exposure field, in the
four corners. Although the performance of the overlay metrology tools has improved significantly over time it is
questionable if this traditional method of overlay control will be sufficient for future technology nodes. For advanced
lithography techniques like double exposure or double patterning, in-die overlay is critical and it is important to know
how much of the total overlay budget is consumed by in-die components.
We reported earlier that small overlay targets were included directly inside die areas and good performance was
achieved. This new methodology enables a wide range of investigations. This provides insight into processes which
were less important in the past or not accessible for metrology. The present work provides actual data from productive
designs, instead of estimates, illustrating the differences between the scribe line and in-die registration and overlay.
The influence of the pellicle on pattern placement on mask and wafer overlay is studied. Furthermore the registration
overlay error of the reticles is correlated to wafer overlay residuals.
The influence of scanner-induced distortions (tool to tool differences) on in-die overlay is shown.
Finally, the individual contributors to in-die-overlay are discussed in the context of other overlay contributors. It is
proposed to use in-die overlay and registration results to derive guidelines for future overlay and registration
specifications. It will be shown that new overlay correction schemes which take advantage of the additional in-die
overlay information need to be considered for production.
Following the international technology roadmap for semiconductors
the image placement precision for the 65nm technology node has to be 7nm. In order to be measurement capable, the measurement error of a 2D coordinate measurement system has to be close to 2nm. For those products, we are using the latest Vistec registration metrology tool, the LMS IPRO3. In this publication we focus on the tool performance analysis and compare different methodologies. Beside the well-established ones, we are demonstrating the statistical method of the analysis of variance (ANOVA) as a powerful tool to quantify different measurement error contributors. Here we deal with short-term, long-term, orientation-dependent and tool matching errors.
For comparison reasons we also present some results based on LMS IPRO2 and LMS IPRO1 measurements. Whereas the short-term repeatability and long-term reproducibility are more or less given by the tool set up and physical facts, the orientation dependant part is a result of a software correction algorithm.
We finally analyse that kind of residual tool systematics and test some improvement strategies.
In 193nm optical lithography, immersion technology will enable numerical apertures much greater than 1.0.
Furthermore, polarized light is likely to be applied, enhancing the imaging properties of structures with dimensions near
the resolution limit. As a result, the consequences of extreme oblique angle illumination as well as polarization effects
need to be carefully evaluated for all elements of the lithographic process. This paper explores the aberrations and
apodization induced by the pellicle film in hyper NA lithography.
In a first step, the angle and polarization-dependent phase errors of a perfectly flat pellicle are investigated and
discussed for varying thicknesses. It will be shown that for NAs greater than 1.0 the pellicle induces higher order
spherical aberrations which can be in the range of today's scanner lens specifications. Also, the impact of polarizationdependent
apodization will be discussed.
In a second step, the analysis is extended to the case of a non-flat pellicle due to a given frame bow. Under these
conditions, the phase and transmission error is not radially symmetric and, furthermore, is field dependent. It will be
discussed under which conditions this effect can lead to a significant pellicle-induced CD signature over the entire
image field.
In case drastic changes need to be made to tool configurations or blank specifications, it is important to know as early as possible under which conditions the tight image placement requirements of future lithography nodes can be achieved. Modeling, such as finite element simulations, can help predict the magnitude of structural and thermal effects before actual manufacturing issues occur, and basic experiments using current tools can readily be conducted to verify the predicted results or perform feasibility tests for future nodes. Using numerical simulations, experimental mask registration, and printing data, the effects on image placement of stressed layer patterning, pellicle attachment, blank dimensional and material tolerances, as well as charging during e-beam writing were investigated for current mask blank specifications. This provides an understanding of the areas that require more work for image placement error budgets to be met and to insure the viability of optical lithography for future nodes.
The present paper will show an approach for a local and global stress determination by the application of a Leica LMS IPRO II mask registration tool. Changes in placement due to a full or partial layer removal on single materials as well as material stacks with respect to a reference grid were determined. Simulation using finite element modeling was conducted to calculate stress values from the placement information. Finally, an estimate was made of the acceptable stress level for a sample design to meet placement requirements for future lithography nodes.
The goal of the present study was to investigate and quantify reticle stress birefringence in exposure conditions. Birefringence can arise in fused silica photomask substrates due to their state of stress, and cause optical effects such as phase front distortion, ray bifurcation, and polarization changes. These effects potentially produce image blurring and illumination non-uniformity, leading to lower resolution and CD variations, respectively. The main sources of substrate stress studied were the absorber stack, the mounting of a pellicle, and the impact of initial reticle bow when chucking in an exposure tool. Jones calculus was used to relate birefringence at discrete locations in the reticle, derived from the state of stress, to the net birefringence experienced by light passing through the mask. Experimentally-obtained birefringence data as well as analytical calculations of stress birefringence caused by known states of stress were used to validate the models. These results can then be compared to photomask birefringence specifications or employed in optical simulations to determine the precise impact of this substrate stress birefringence.
Due to the non-telecentricity of the EUV illumination, the EUV mask flatness budget dictates the use of an electrostatic chuck in the exposure tool. Since the mask backside flattening provided by the electrostatic chuck in the exposure tool is very different from the 3-point mounts currently employed to hold reticles in pattern generation and registration measurement tools, this raises the question of which mounting techniques to apply in future patterning and registration tools. In case drastic changes need to be made to the tool configurations, it is important to know, and as early as possible, whether backside chucking of reticles, via an electrostatic or vacuum chuck, is absolutely required or if a 3-point mounting scheme can suffice in these tools. Using finite element simulations, the effects on EUV mask image placement of stressed layers and their patterning, as well as substrate and chuck non-flatness were predicted for these different conditions. The results can be used to calculate image placement error budgets and determine what substrate and blank specifications are needed for the implementation of EUV at the 32-nm node.
The interface roughness of EUV mask multilayers was taken into account for the numerical calculation of blank reflectance, and models for the growth of oxide on Si capping layers were proposed and evaluated. The simulations were then checked and validated with reflectometry measurements at different steps of the mask blank processing as well as for various angles of incidence, and ellipsometry data on layer thickness. The benchmarked models made it possible to characterize EUV mask blank Mo/Si multilayers (period, thickness ratio, number of bilayers), as well as Si capping layers and native oxide layers from reflectivity measurements. This enabled the study, via a combination of experiments and simulations, of the growth of SiO2 layers, bringing deeper understanding into this phenomenon. Finally, the simulations were used to more properly optimize multilayers and quantify the influence of the exposure tool illumination numerical aperture. Having successfully matched reflectivity data around the actinic wavelength, it was also possible to extend the models to inspection wavelengths in order to predict inspection contrast values.
An assessment of the mechanical performance of pellicles from different vendors was performed. Pellicle-induced distortions were experimentally measured and numerical simulations were run to predict what improvements were desirable. The experiments included mask registration measurements before and after pellicle mounting for three of the major pellicle suppliers, and adhesive gasket material properties characterization for previously untested samples. The finite element numerical simulations were verified via comparison to experimental data for pellicles with known frame bows, measured by the vendor. The models were extended to simulate the effect of the chucking of reticles in an exposure tool, as well as the various magnification correction schemes available in such tools. Results were compared to ITRS requirements to evaluate performances. This study enables the AMTC to give important feedback to pellicle suppliers and make proper recommendations to customers for future pellicle choices.
For 157 nm lithography the pellicle material will be most probably a 800 μm thick inorganic (fluorine doped fused silica) plate instead of a standard thin (~ 1 μm) organic (polymer) film. The thickness of the pellicle makes it an additional optical element in the 157 nm exposure tool. This puts tight requirements on the optical properties of the pellicle. One of the largest challenges is to control the pellicle induced overlay errors that result from small variations in pellicle flatness. A local tilt of 12 μrad already introduces an image displacement of 1 nm. This paper deals with the theoretical understanding of the pellicle indued overlay errors. It shows the relation between offline pellicle flatness measurements and exposure tool overlay performance. Two potential solutions are presented to obtain the pellicle within the desired overlay specification. System overlay corrections in combination with a new mounting strategy based on 'correctable pellicle shapes' seem to make the desired overlay specification (≤ 1 nm) feasible. The proposed 'one-dimensional' pellicle shape seems to be very promising. Distortion data, as obtained from exposures on a 193 nm system with and without pellicle, indicate that the proposed solution for automatically and fully correcting for a non-flat pellicle is feasible.
Optical lithography with 157-nm light is expected to bridge the gap between 193-nm technology and next-generation lithography. One important practical difficulty facing the implementation of 157-nm technology is gas absorption of 157-nm light. The exposure process for 193-nm technology is carried out in an air environment, but oxygen gas and water vapor severely attenuate 157-nm radiation. However, 157-nm exposure can be carried out in a nitrogen environment, which can be achieved by purging. A challenging aspect of the nitrogen purging process is the evacuation of the volume delineated by the pellicle frame, and the 800-μm-thick hard pellicle plate, which can fracture when subjected to an excessive pressure difference. A technique for pellicle purification via a cyclic purging and filling process is investigated. A theoretical analysis of the gas flow and pressure variation in the system is presented. The maximum stress induced in the hard pellicle during the process is predicted using finite element modeling. The minimum time for purification without causing excessive stress in the pellicle plate is estimated for a nominal set of conditions. Finally, a parametric analysis of important geometric variables including the size and number of purging holes as well as the filter resistance is presented.
Optical lithography with 157-nm light is expected to bridge the gap between 193-nm technology and next-generation lithography. One important practical difficulty facing the implementation of 157-nm technology is gas absorption of 157-nm light. The exposure process for 193-nm technology is carried out in air. However, oxygen and water vapor attenuate 157-nm radiation. Alternatively, the exposure can be carried out in a nitrogen-purged environment. The purification of the volume trapped between the reticle and the hard pellicle is challenging because of the delicate pellicle geometry. In this paper, experimental results are presented that support the design and development of pellicle purge processes for 157-nm optical lithography. Specifically, a hard pellicle was installed in the Pressure Bulge Tool (at the UW Computational Mechanics Center) and experimental measurements of the pressure-induced pellicle distortion were obtained. The pressure loads imposed on the pellicle are representative of those expected during in-tool purge processes. Separate testing quantified the pellicle fracture stress and the flow characteristics of the pellicle/reticle geometry for a particular vent configuration (i.e., frame vent hole size and number, and filter system). These flow characteristics are important, as they ultimately dictate the pressure difference imposed on the pellicle during any purging process. These various experimental measurements, when taken together, facilitate the assessment of the feasibility and requirements associated with alternative pellicle purging strategies for 157-nm lithography.
Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-90 nm lithography chip manufacturing. Intel Corporation, Mitsui Chemicals, and the University of Wisconsin Computational Mechanics Center (UW-CMC) have conducted an extensive experimental study to quantify and minimize the pellicle-induced distortions in order to meet advanced mask manufacturing requirements. A comprehensive design of experiment was elaborated to evaluate the effects of frame curvature, adhesive gasket compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A frame curvature measurement tool was custom-made at the UW-CMC, employing an MTI Instruments capacitive sensor. A TA Instruments dynamic mechanical analyzer was used to determine the elastic modulus of the adhesive gasket materials. Registration measurements were conducted by Intel on test reticles on a 21 × 21 array of grid points, before and after pellicle attachment, to obtain pellicle-induced distortion results. Results characterize the influence of attachment process, type of adhesive gasket, frame curvature, reticle guiding plate configuration, and attachment load on pellicle-induced distortions.
To meet the stringent image placement error budgets for the insertion of 157-nm lithography in the sub-65 nm regime, photomask-related distortions must be minimized, corrected, or possibly eliminated. Sources of distortions include the pellicle system, which has been previously identified as a potential cause of image placement error. To characterize the many aspects of static pellicle-induced distortions, experiments have been conducted, and comprehensive finite element simulations have been performed for hard pellicle systems. The results of these benchmarking studies showed the capabilities of modeling and simulation to accurately predict static pellicle-induced distortions. In addition, the dynamic response of hard pellicles during exposure scanning was determined, taking into account both inertia effects and fluid / structure interaction. This paper focuses on the vibratory response of modified fused silica (hard) pellicles due to acoustic / dynamic pressure loadings during exposure scanning, studied both experimentally and numerically. A modal analysis was performed, the structural damping of the pellicle system was assessed, and a harmonic study was conducted to characterize the effects of acoustic excitation. The results obtained facilitate the timely establishment of viable hard pellicle designs and related standards for 157-nm lithography.
Identifying a functional pellicle solution for 157-nm lithography remains the most critical issue for mask technology. Developing a hard pellicle system has been a recent focus of study. Fabrication and potential pellicle-induced image placement errors present the highest challenges to the technology for meeting the stringent error budget for manufacturing devices in the 65-nm regime. This paper reports the results of a comprehensive proof-of-concept study on the state-of-art hard pellicle systems, which feature 800-mm thick modified fused silica pellicles and quartz frames. Pellicles were fabricated to ensure optical uniformity and flatness. Typical intrinsic warpage of these pellicles was close to the theoretical limit of 4.0 mm under a gravitational load. Quartz frames had bows less than 1.0 mm. The advantage of quartz frames with matched thermal expansion was demonstrated. An interferometric facility was developed to measure the flatness of the mask and pellicle system before and after pellicle mounting. Depending on the mounting process as well as mounting tool characteristics and techniques, variations were observed from pellicle to pellicle, mount to mount, and mask to mask. A redesign of the mounter and mounting process has significantly improved pellicle flatness. Finite element models were also generated to characterize the relative importance of the principal sources of pellicle-induced photomask distortions. Simulation results provide insightful guidance for improving image quality when employing a hard pellicle.
Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-100 nm lithography chip manufacturing. Intel Corporation and the University of Wisconsin have conducted an extensive study to identify the various sources of pellicle-induced distortions and methods for error reduction in order to meet advanced mask manufacturing requirements. In this study, pellicle attachment processes and system materials were evaluated to determine the effects on image placement accuracy. In particular, the in-plane distortions due to the pellicle attachment technique, pellicle frame flatness, frame adhesive, and environmental temperature were characterized. At Intel, pellicles were attached to a test reticle with a 21 X 21 array of grid points. Registration measurements were conducted before and after pellicle attachment using an optical distance metrology system. A comprehensive finite element model was developed at the University of Wisconsin to assess the contributions to pellicle-induced distortions from individual components of the pellicle system. Pellicle frame flatness, frame adhesive, and temperatures were measured and used as input to the finite element model. The correlation between simulation results and experimental data was excellent. Analyses were also performed to study pellicle mounting mechanisms and pellicle frame flatness.
A comprehensive design of experiment was elaborated to evaluate the effects of frame flatness, mask adhesive compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A dynamic mechanical analyzer was used to determine the elastic modulus of the adhesives materials, and a capacitive sensor-based tool was employed to measure the pellicle frame bow prior to mounting. Registration measurements were conducted on test reticles on a 21 X 21 array of grid points, before and after pellicle attachment. Statistical analysis (Anova test) was conducted to determine if the means for each sample group were statistically discernable. Overall, the magnitude of the distortions was very low for the pellicle mounting mechanism selected. Nevertheless the results indicated that the sample group with the flexible (softer) mask adhesive material exhibited lower distortions than that with conventional (stiffer) mask adhesive. Either larger sample size and/or wider variations in initial frame bow and mounting pressure will be required to assess the impact of these parameters on pellicle-induced distortions. Flexible (softer) mask adhesives are believed to minimize photomask deformation during the mounting process, resulting in lower pellicle-induced distortions.
Meeting the stringent error budget of 157-nm lithography for manufacturing devices in the sub-100 nm regime requires that all mask-related distortions be minimized, corrected, or eliminated. Sources include the pellicle system, which has been previously identified as a potential cause of image placement error. To characterize pellicle-induced distortions, finite element (FE) models have been developed to simulate system fabrication, including soft pellicles as well as prototype fused silica (hard) pellicles. The main sources of distortions are: (a) temperature variations, (b) initially distorted components, and (c) sag-induced refraction. Temperature variations are an issue if pellicle mounting and exposure take place at different temperatures. Sources of attachment-induced distortions include the initial frame curvature, initial reticle shape, attachment method (mounting tools-induced), frame and gasket materials, and the hard pellicle bow. These attachment-induced distortions were modeled using experimentally measured values of Young's modulus for adhesive gaskets. Refraction aberration is an issue with bowed hard pellicles which act as optical elements and induce image degradation. These effects were assessed and found to be significant. Results from the experiments and comprehensive FE simulations have characterized the relative importance of the principal sources of pellicle-induced photomask distortions for 157-nm lithography.
Extending 157-nm lithography to the 70 nm node will be a difficult challenge due to the stringent requirements on image placement accuracy. At the University of Wisconsin Computational Mechanics Center, numerical and experimental studies are being conducted to investigate materials, fabrication processing, and system parameters necessary to achieve the required overlay error budget. This paper provides our latest results for 157-nm reticles, including the photomask / pellicle system. Mask blank fabrication and pattern transfer effects were simulated utilizing three-dimensional finite element (FE) structural models. The pattern-specific in-plane distortions (IPD) induced by each fabrication process step have been determined using the IBM Nighteagle / Falcon layout. To complete the static structural analysis, the effects of bonding a pellicle were also identified. The thermomechanical response of reticles during e-beam patterning and exposure were evaluated utilizing FE heat transfer models. Results from e-beam writing simulations indicate that transient thermal distortions from patterning the Nighteagle / Falcon design are not critical. However, under high throughput conditions, the IPD induced during scanning exposure can become relatively large. The simulation results provide an indication of the total overlay error budget to be expected, and demonstrate the importance of using predictive models to optimize mask system performance in a cost-effective manner.
Potential transmission problems for polymeric pellicle membranes at 157 nm have led to alternative designs incorporating ultra-thin modified fused silica, i.e., so-called 'hard pellicles.' The mechanical characteristics of hard pellicles are unique. Forces can be generated between the pellicle frame and the patterned reticle during bonding because of misalignment and warpage. These forces create out-of-plane distortions of the reticle, which can subsequently induce in-plane distortions. Also, since the hard pellicle is an optical element, its deflection can be a source of error. In addition, because the reticle is rapidly repositioned during exposure, vibration of the pellicle could be excited by stage motion. It is important, therefore to understand the structural and modal response of the composite pellicle / reticle system. Experimental analyses were conducted to determine changes in the reticle and hard pellicle profiles (out-of-plane) due to bonding. Finite element modeling was used to support the experimental study, as well as identify the gravitational distortions of the pellicle. A modal analysis was also performed on the hard pellicle after bonding. The experimental measurements and finite element results were in excellent agreement, both for mode shapes and vibration frequencies.
Lithography below sub-130 nm requires minimization of pattern distortions due to mask fabrication. It is essential to understand the impact of each step of the entire process flow, since the fabrication (and the resulting bow of the mask as well as the stress of the layers) influences subsequent steps. This paper presents experimental and finite element results from a study of the deposition, etching, and bonding effects on the stress of the mask film stack and, in particular, looks at stress variations due to bonding. Stress measurements were conducted on five X-ray lithographic test masks. The results of this study provide insight into general deposition, etching, and bonding procedures being considered by other NGL technologies.
The development of a low distortion mask is essential for advanced lithographic technologies to meet the allotted error budgets for sub-130 nm regimes. Predicting mask- related distortions is the first step in the design and optimization process. This paper presents the result of simulating mechanical distortions induced in the x-ray mask during fabrication and pattern transfer. Finite element (FE) models have been used to predict the out-of-plane distortions for the fabrication of the mask blank. Numerical data are in excellent agreement with experimental data. In- plane distortions due to the pattern transfer process have also been simulated for the IBM Falcon mask layout. Parametric studies illustrate the effect of system parameters on the final in-plane distortion results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.