Simulations on attenuated phase-shift masks (att PSM) for EUV have shown that these novel mask absorbers can strongly boost optical contrast. The optimum EUV imaging mask does not only need to balance the diffraction order amplitudes (as in DUV imaging), it also needs to mitigate the strong mask 3D effects that are present in EUV lithography. The latter is very important and strongly relies on material properties. Here, we present an overall progress update on our att PSM work, including the first experimental lithography results on an EUV att PSM test mask and guidelines needed for optimum performance from diffraction point of view.
Today’s EUV masks are optimized for maximum reflectivity at 6o angle of incidence to support imaging on the 0.33NA scanners. The High-NA EUV scanner will have an NA of 0.55 and anamorphic optics, as right balance between productivity and keeping the angles on mask level compatible with the current EUV masks. However, for selected use-cases increased Mask-3D effects are observed. The enlarged angular spread on mask for vertical L/S may cause, amongst others, an increased non-telecentricity variation across slit compared to 0.33NA, potentially leading to on-product overlay errors. In this paper, we will show the root-cause of these enhanced M3D effects for High-NA EUV and the role of the EUV mask multilayer. We will show how an ideal EUV multilayer could look like to mitigate these M3D effects and propose a practical embodiment in the form of a RuSi multilayer stack. We will show some first experimental results of an ion beam deposited RuSi test sample, assess the main challenges from manufacturability point of view and what imaging performance can be expected for such a mask multilayer applied for High-NA EUV.
Next-generation extreme ultraviolet (EUV) systems with numerical apertures of 0.55 have the potential to provide sub-8-nm half-pitch resolution. The increased importance of stochastic effects at smaller feature sizes places further demands on scanner and mask to provide high contrast images. We use rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate optical parameters for high NA EUV imaging. Simulations of various use cases and material options indicate two main types of solutions: high extinction materials, especially for lines spaces, and low refractive index materials that can provide phase shift mask solutions. EUV phase masks behave very different from phase shift masks for DUV. Carefully designed low refractive index materials and masks can open up a new path toward high contrast edge printing.
To enable failure-free process windows with EUV lithography a sufficiently high optical contrast is required. For decreasing pitch the contrast requirements may only be achieved with advanced masks such as, high-k and attenuated PSM.
While manufacturing high-k absorbers requires effort, the imaging theory is straightforward. Studies to the PSM have shown even better image contrast, at the cost of increased complexity of the imaging theory. The strong presence of M3D effects with EUV drives the attenuated PSM imaging physics in a different direction than for DUV. We report on the investigation of the expected requirements and capabilities of the PSM
We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n < 0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.
Pushing the novel anamorphic NA=0.55 EUV projection optics to k1 values below 0.4 and to its ultimate resolution limit will require an alternative mask absorber stack. This paper describes the application of rigorous imaging simulations in combination with multi-objective optimization to access the performance of novel absorber materials for the NA=0.55 system. Simulations of various use cases and material options indicate two main types of solutions: high k materials (k>0.05, especially for vertical lines/spaces) and low n materials (n ~ 0.9) to provide phase shift mask solutions for contact arrays.
Alternative reticles have the potential to improve EPE for low-k1 EUV lithography on multiple aspects, by reducing mask 3D effects and improving optical contrast. We study the application of high-k masks and attenuated phase-shift masks at diffraction level and show that mitigation of mask 3D effects, such as contrast fading, is crucial for both good performance of both alternative-reticle types. We present optimum embodiments for both mask types. We find that the optimum attenuated phase-shift mask (PSM) results in a phase shift of 1.2 π. The extra 0.2 π phase shift required for the EUV mask compared to its DUV counterpart is needed to compensate the strong mask 3D effects; the 1.2 π phase shift is crucial for good performance at small pitch and was found for all 3 materials studied in this work: Ru, Pd, and Mo. We show that our Rubased attenuated PSM embodiment results in a strong gain in normalized image log slope (NILS). <30% NILS gain can be achieved compared to a Ta-based reference mask. To demonstrate the generic applicability of the mask, we show NILS gain using the same attenuated PSM embodiment for different use cases for 0.33 and 0.55-NA EUV lithography, including regular contacts, DRAM patterns, and contacts through pitch. We show that the optimum mask-type choice is application dependent and present our recommendations in a mask-decision tree. We discuss the implications of using new reticle absorbers for scanner integration.
EUV lithography is being used at relatively high-k1 Rayleigh factors. Advancing EUV to smaller resolution requires several technological advancements. The EUV reticle is a strong contributor that limits current EUV imaging performance. Improvements with advanced mask types are required to reduce mask 3D effects and to improve image contrast. This will enable low-k1 resolution with reduced stochastic defect rates. In this paper we discuss what the requirements of high-k absorber masks and attenuated phase shift masks are to achieve optimal imaging performance. Recommendations on the mask stack composition and the application of mask types to different use cases are based on the physical understanding of the mask diffraction spectrum.
EUV lithography is entering High Volume Manufacturing at relative high Rayleigh factor k1 above 0.4. In comparison immersion lithography has been pushed to k1 values of 0.3 or below over the last two decades. One of the strong contributors determining the effective usable resolution is the mask absorber stack. The mask stack alters the diffraction by modifying the phase and intensity of the diffracted orders. In this paper we show the exposure results of a test mask having higher absorbance of EUV light and the advantages of reduced Mask 3D effects to imaging.
Mitigation of mask 3D effects is essential for EUV imaging of high resolution features. The 3D EUV masks give rise to phase effects over the diffracted orders and potentially distort the image on the wafer. These phase effects may reduce contrast, result in pattern shifts and result in best focus variations on wafer. Two variations on the current absorber are investigated to their impact on reduction of M3D effects and impact on image quality. Use of high-k absorber materials allows for thinner masks to be used and helps to reduce averse M3D effects. Attenuated phase shift masks work by allowing a higher optical transmission while giving a phase shift to the transmitted light, which further improves image contrast on wafer and also enables thinner absorbers to be used. Attenuated PSM absorbers show a stronger variation in imaging performance through incidence angle onto the reticle. It has been shown that this results in a variation in imaging performance for varying features and pitches. Specifically of interest is how NILS through focus is influenced by the different absorbers. Phase shift masks show better performance for NILS through focus on contact holes, and high-k masks work well for dense lines.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.