Extreme Ultraviolet Lithography (EUVL) is the favourite next generation lithography candidate for IC device manufacturing with feature sizes beyond 32nm. Different absorber layers and manufacturing concepts have been published for the fabrication of reflective EUVL masks. A mandatory step in the EUVL mask making is the patterning of sub 100nm features. The layer composition of such a TaN absorber consists of an anti reflective coating (ARC) on top of a base layer.
We investigated the dry etch behaviour of TaN based absorbers with four different top ARC layers. Our focus was to determine a dependency of patterning criteria e.g. etch selectivity, minimum resolution, CD uniformity and linearity on the different ARC layers. Before, the deposition parameters of the top ARC layers have been optimized by SCHOTT Lithotec towards minimum stress and the appropriate reflectance property at the 257nm inspection wavelength. The mask blank exposure was done on a 50kV Vistec SB350 MW variable shaped e-beam writer using a 300nm thick Fuji FEP171 resist film. Our test pattern covered a quality area of 132mm x 132mm and comprised dense/iso line structures and contacts from 60nm-1200nm. Testmasks with the four different TaN based absorbers have been dry etched on an Oerlikon mask etcher III. The dry etch recipe and parameters have been kept constant for the different absorber testmasks. Line and contact hole patterns with a minimum feature size of ~70nm and perpendicular profiles have been realized. CD uniformity on 180nm L&S and linearity measurements on dense and iso features from 100nm-1200nm havbe been carried out.
Overall, a TaN based absorber including dry etch process has been developed, able to fulfill the requirements for IC device manufacturing with feature sizes down to 22nm - suitable for EUV-Lithography.
A case study was carried out investigating the influence of different transmission and phase shift materials on lithographic performance at 45 nm node. The bilayer approach for embedded attenuated Phase Shift Masks (EAPSM) offers the advantages to adjust phase shift and transmission independently. The transmission of Ta/SiO2 can be tuned up to 40% depending on the required application. Three different PSM blank types with the stacks Ta/SiO2-6%, Ta/SiO2-30% and Ta/SiON-30% have been manufactured and characterized. Afterwards, an identical line pattern, consisting of different feature sizes and duty cycles, has been patterned in each of the three PSM types as well as in the MoSi-6% for reference. Using the AIMS<sup>TM</sup> 45-193i tool we have evaluated the lithographic performance of the four PSM in terms of contrast and process latitude using unpolarized and TE polarized illumination. The case study showed that the process window for Ta/SiO2-6% is comparable to standard MoSi-6%. For dense line application a 6% EAPSM is preferable. The Ta/SiO2-30% EAPSM provides a significantly larger process window for higher duty cycles compared to MoSi-6%. This means a 50% increase in depth of focus (DOF) at 10% exposure latitude (EL). Therefore for logic application with higher duty cycles a EAPSM material with 30% transmission is preferable.
ASML's first EUV alpha demo tool (ADT) is ready for lithographic set up, driving the need for qualified and fully compliant EUV masks. EUV reflection masks are different in blank and mask processes compared to current technologies e.g. masks for 193nm. Although in recent years individual EUV mask parameters have been demonstrated, it is only with the fabrication on the ADT mask set that fully compliant masks have been made. In this paper we discuss the typical requirements of a EUV full-field mask, and show first results from achieving the important milestone of fabricating EUV masks.
A resolution of 45nm dense lines has been be realized in a 100nm thick commercial available positive tone chemically amplified resist (pCAR) using the Leica SB350 variable shaped beam writer. On the basis of this resist process and by optimization of photomask blank material as well as by adaptation of chrome and quartz etching processes, a nanoimprint template technology has been developed which enables patterning of 50nm dense lines. The sensitivity of the selected pCAR as well as the performance of the implemented dynamical stage control of the Leica pattern generator, facilitates an acceptable throughput even for complex pattern. We characterized the templates in terms of feature profile, CD linearity and pattern fidelity. The final imprinting of different pattern proved the applicability of the manufactured stamps for the nanoimprint technology.
Continuous reduction of feature size in semiconductor industry and manufacturing integrated circuits at low costs requires new and innovative technology to overcome existing limitations of optics. Tremendous progress in key areas like EUVL light source technology and manufacturing technology of EUVL masks with low defect rates have been made recently and EUVL is the leading technology capable to be extended so Moore's law, the shrinkage of IC critical features, can continue to be valid. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks, ranging from Low Thermal Expansion Material (LTEM) with high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the roadmap requirements. Further R&D is ongoing to path the way to the production of EUV blanks which meet all requirements.
An important focus of this paper is to present the recent results on LTEM substrates, which include defect density, roughness and flatness simultaneously, as well as EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range and optical resistance to cleaning steps. In addition the design of EUVL absorber material will be discussed, including optical performance at EUV wavelength and its contrast behavior.
Finally, IMS Chips has developed the dry etch process of these EUV Mask Blanks by optimizing etch selectivities, profiles and etch bias. Results on CD uniformity, linearity and iso/dense bias will be presented.
The present paper will show an approach for a local and global stress determination by the application of a Leica LMS IPRO II mask registration tool. Changes in placement due to a full or partial layer removal on single materials as well as material stacks with respect to a reference grid were determined. Simulation using finite element modeling was conducted to calculate stress values from the placement information. Finally, an estimate was made of the acceptable stress level for a sample design to meet placement requirements for future lithography nodes.
An initial Nanoimprint template manufacturing process using a state-of-the-art mask front end line has been developed. The process flow is based on conventional 6025 photomask blanks and known basic process steps for chrome and quartz etching. While these etching processes have been slightly adapted, a comprehensive investigation of chemically amplified resists for this purpose was done. We were able to identify a pre-commercial pCAR enabling to approach the 50nm dense line resolution using the Leica SB350 variable shaped beam e-beam writer. We characterized profile, CD-linearity, CD-uniformity and placement accuracy of the nanoimprint templates. The final imprinting of different pattern proved the applicability of the manufactured stamps for the nanoimprint technology.
Reflections occur at every interface of a mask and are known as flare. Flare effects have a negative impact on the resist exposure at the wafer level. In this paper total antireflection (AR) solutions are presented to eliminate flare effects at mask level. These are next generation binary and phase shifting mask blanks, where AR coatings are effective not only on top of the absorber, but also eliminate internal as well as back side reflections. Substrate reflection can be reduced both internally and externally by an order of magnitude to below 0.5%. Internal (backside) reflection of a binary chrome or a phase shifting layer are reduced from about 40% to below 0.1%. Reflection in the etched area is also addressed and reduced by an order of magnitude. A sophisticated absorber AR coating is presented, where reflection at 193 nm lithography can be reduced to zero while at the same time reflection at 257 nm inspection wavelength is tuned to the maximum sensitivity range of 7% to 20%.
Schott's already commercially available two layer Ta/SiO2 phase shift system can be tuned from 6% up to 40% transmission for 157, 193 and 248 nm lithography wavelengths. Thus one film patterning process provides a wide product range. Attenuated phase shift masks for 6%, 20% and 30% transmission at 193nm were produced. Tests for laser stability and chemical durability show excellent performance. The phase shifting film achieves a high etch selectivity to the substrate. Dry etch process development is done at IMS chips in Stuttgart, Germany, to provide our customers the service of a good start process for patterning. Results of phase and transmission uniformity are included. Our newest development enhances the layer system and provides a better contrast for inspection in reflection mode. Transmission of our standard two layer Ta/SiO2 PSM system is below the required 20% at inspection wavelengths. The reflectivity of 30% to 40% can be lowered by insertion of an additional contrast layer. The thickness of this contrast layer is adjusted to achieve the required reflection at inspection wavelengths, while the other film thicknesses are tuned to preserve the desired transmission and 180° phase shift at the design wavelength. As first examples 6% and 20% transmission PSM for 193 nm were tested. Reflection at 257 nm and 365 nm inspection wavelengths can be lowered from initial 30% to 40% down to about 10%.
Knowledge of particle removal during the mask cleaning was transferred to the blank cleaning and vice versa. The experiments are focusing on a variety of blank substrates (glass substrates, chrome on glass blanks and phase shift mask blanks substrates). The principal equipment concept and the process optimization strategies for cleaning of those different kinds of blank substrates are presented. With a fixed process flow, including UV-treatment, Fulljet and MegaSonic treatment, Rinse and Dry, process parameters are varied to define the optimum process conditions. Criteria for an optimum process are particle removal efficiency in general and optical integrity for phase shift mask blanks in particular. The particle removal efficiency for all investigated blank types is within a range of 96-100%. Especially for Ta/SiO<sub>2</sub> phase shift mask blanks we demonstrate that during the cleaning process the optical properties only change by 0.07° phase loss and 0.01% transmission loss per cleaning cycle, respectively.
Due to the non-telecentricity of the EUV illumination, the EUV mask flatness budget dictates the use of an electrostatic chuck in the exposure tool. Since the mask backside flattening provided by the electrostatic chuck in the exposure tool is very different from the 3-point mounts currently employed to hold reticles in pattern generation and registration measurement tools, this raises the question of which mounting techniques to apply in future patterning and registration tools. In case drastic changes need to be made to the tool configurations, it is important to know, and as early as possible, whether backside chucking of reticles, via an electrostatic or vacuum chuck, is absolutely required or if a 3-point mounting scheme can suffice in these tools. Using finite element simulations, the effects on EUV mask image placement of stressed layers and their patterning, as well as substrate and chuck non-flatness were predicted for these different conditions. The results can be used to calculate image placement error budgets and determine what substrate and blank specifications are needed for the implementation of EUV at the 32-nm node.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection, buffer layers, up to new absorber layers with improved dry etching and inspection properties. In addition highly sophisticated metrology is needed for further improvements and process control. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Our improvements on low defect EUV multilayer coatings as well as on our metrology methods will be elucidated and some aspects of this will be explained in detail. In addition a new design of EUVL absorber material with experimental results will be reported, including optical performance at inspection wavelength.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass mask blanks. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection up to new absorber layers with improved dry etching and inspection properties. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Further R&D is ongoing to path the way to the production of EUV mask blanks which meet all requirements
An important focus of this report is to present recent results on EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range. In addition a new design of EUVL absorber material will be reported, including optical performance at inspection wavelength, dry etch performance and resistance to cleaning steps. Finally improvements on our metrology methods for EUVL components, such as high throughput EUV-reflectometry will be elucidated.
Schott's already commercially available two layer Ta/SiO2 phase shift system can be tuned from 6% up to 30% transmission for 157, 193 and 248 nm lithography wavelengths. Thus one film patterning process provides a wide product range. Dry etch process development is done at IMS chips in Stuttgart, Germany, to provide our customers the service of a good start process for patterning. Our newest development enhances our phase shift layer system. An inspection layer provides an improved contrast for inspection at 257 nm and 365 nm by adjusting reflection to the optimum range from 7% to 20%. Chemical durability against standard mask cleanings was already shown to be good but can be further enhanced by an protection layer. Furthermore a new two layer phase shift system was designed achieving ultra-high transmission above 90% at 193 nm lithography wavelength as an alternative to hard shifter masks.
EUV Lithography requires high end quality defect free layers from the backside coating to the absorber stack. Low thermal expansion materials (LTEM) substrates with super flat surfaces are already available with low defect backside coating for E-Chuck technology. The multilayer stack is well developed from a physical point of view and major effort relies nowadays on the layer defectivity. On the other hand, absorber stack becomes one of the main challenges in terms of stress, optical behavior for ultraviolet wavelengths and dry etching behavior. Schott Lithotec is currently developing absorber stack solutions that will fulfill the requirements of next generation lithographies. There are several options for achieving the mechanical, optical and chemical specs for buffer layers and absorber coatings. Some of them are already integrated in our production processes. Buffer layers were evaluated and reach almost the physical and chemical level necessary to fit with the mask processing. TaN based absorber coatings were designed and deposited by an ion beam sputter tool optimized for low defect deposition (LDD-IBS). The chemical composition of our layer and its manufacturing process is already optimized to achieve high quality etching behavior. The current results of defect density for the absorber stack will be presented.
A new phase shifting film system based on tantalum and silicon dioxide is presented. The tantalum film works as a transmission control layer and furthermore as an etch stop layer due to its good etch selectivity. The silicon dioxide phase control layer is tuned to 180° phase shift. Excellent laser stability and chemical durability were already shown. The two layer system can be easily tuned to various transmission values for three different lithography wavelengths. Transmission and phase shift uniformity fulfill already the final production specifications according to ITRS. An optimized deposition process yields excellent film surface roughness values equal to an uncoated substrate. Defect density could be significantly reduced recently. First SEM pictures of structured films show promising results.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard COG blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection, buffer layers, up to new absorber layers with improved dry etching and inspection properties. This paper introduces in the special features of Low Thermal Expansion Materials (LTEM), their manufacturing and the special metrology for the Coefficient of Thermal Expansion (CTE). We will look into some details of polishing methods for much better flatness of the substrates. The process and the metrology of low defect EUV multilayer coatings will be elucidated and some aspects of this will be explained in detail. In addition we will present new results from no-chrome alternative absorber materials.
Schott Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks - ranging from Low Thermal Expansion Material (LTEM) via high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the roadmap requirements. The goal is pilot production of EUV blanks for the 45 nm node end of 2005.
There are several technology options for achieving the mechanical, optical and chemical specs for substrates and coatings. Some of them are already integrated in our processes. An important focus of this paper is the understanding of defect sources starting from the LTEM bulk material to the fully coated blanks with multilayer, buffer and absorber. We present details on some production steps controlling defect detection sensitivity dedicated to various layers and report on new results on defect reduction research after the different process steps.
A new attenuated phase shifting film system for 157 nm lithography is presented. The system is designed for 6% transmission but is tunable to higher values. Tests for laser stability and chemical durability show excellent performance. First results of defect density and phase and transmission homogeneity are presented. The phase shifting film achieves a high etch selectivity to the substrate. The film system is extensible to be used as a high transmission phase shifter for 193 nm lithography. Further it is feasible to repair the film system using electron beam repair technology.
Schott Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks in its advanced quality mask blank manufacturing line -- ranging from Low Thermal Expansion Material (LTEM) high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to produce EUVL mask blanks meeting already some of the roadmap requirements. Further R&D is ongoing to path the way to the pilot production of EUV blanks which meet the beta-specifications end of 2005.
We present the status of our EUVL substrate program and report on the recent results of our activities for low defect multilayer, buffer and absorber coating including new absorber materials. Recent results from the production of full LTEM EUV blanks with multilayer, buffer and absorber coatings will be presented. Process steps in the EUVL mask blank fabrication in a production environment were characterized in terms of defects; the process improvement potential is discussed. We will also throw a light on the aspects of changed layer properties after a longer period of storage. In addition, special metrology methods for EUVL components are currently being developed within the program. The status of the high throughput EUV-Reflectometer for mask blanks will be presented. We developed new processes to achieve EUVL requirements.
EUV mask blanks consist of two thin film systems deposited on low thermal expansion 6 inch substrates (LTEM). First there is the multilayer stack with around 100 alternating layers of elements with different optical properties which are topped by a capping layer. The absorber stack which consists of a buffer and a absorber layer is next. Here a minimum absorption of EUV light of 99 % is required. The stress in both layer systems should be as low as possible. The reduction of defects to an absolute minimum is one of the main challenges. The high-reflective Mo/Si multilayer coatings were designed for normal incidence reflectivity and successfully deposited on 6-inch LTEM substrates by ion-beam sputtering. X-ray scattering, transmission electron microscopy and atomic force microscopy were used for characterization of the multilayer interfaces and the surface morphology. The results are correlated to the measured normal incidence reflectivity using synchrotron radiation at the "Physikalisch- Technischen Bundesanstalt" (PTB) refelctometer at BESSY II, Berlin, Germany. A high resolution laser scanner was used to measure the particle distribution. First multilayer defect results are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.