In EUV lithography, the short wavelength of the light makes the topography of the mask stand out as three dimensional objects rather than thin masks. This generally requires use of a rigorous scattering simulator to calculate the diffracted orders of a mask in order to explain experimental results. In contrast, for optical proximity correction we cannot afford such detailed calculations and we would like to replace such detailed simulations with faster methods that give similar results. In this paper, we discuss observations we made during our printing experiments on a 0.33 NA EUV projection system. In order to extend the process window for non-nested trenches we introduced clear assist features. We observed strong tilt of Bossung curves and best focus shifts for certain pitches. These shifts can be explained by a phase difference between main and assist feature. This effect is very similar for both horizontal and vertical trenches, and it depends strongly on the illumination of the mask. We find that the best focus shift can be minimized for certain assist pitches and illumination conditions, but a general solution for random pitches
appears not obvious.
Several methods are evaluated to improve the accuracy of extreme ultraviolet (EUV) lithography OPC models by including additional physical effects which are not commonly used in deep ultraviolet (DUV) OPC. The primary additions to the model in this work are model based corrections for flare and two different corrections for mask shadowing effects, commonly referred to as HV bias. The quantitative, incremental, improvement from each of these additions is reported, and the resulting changes in tape-out flow and OPC runtime are discussed
Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV)
lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new
non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must
be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work
will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology
nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and
mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and
compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV
because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.
The first use of extreme ultraviolet (EUV) lithography in logic manufacturing is targeted for the 14 nm node, with
possible earlier application to 20-nm node logic device back-end layers to demonstrate the technology. Use of EUV
lithography to pattern the via-levels will allow the use of dark-field EUV masks with low pattern densities and will
postpone the day when completely defect-free EUV mask blanks are needed. The quality of the imaging at the 14 nm
node with EUV lithography is considerably higher than with double-dipole or double-exposure double-etch 193-nm
immersion lithography, particularly for 2-dimensional patterns such as vias, because the Rayleigh k1-value when printing
with 0.25 numerical aperture (NA) EUV lithography is so much higher than with 1.35 NA 193-nm immersion
lithography and the process windows with EUV lithography are huge. In this paper, the status of EUV lithography
technology as seen from an end-user perspective is summarized and the current values of the most important metrics for
each of the critical elements of the technology are compared to the values needed for the insertion of EUVL into
production at the 14 nm technology node.
To avoid expensive immersion lithography and to further use existing dry tools for critical contact layer lithography at
4Xnm DRAM nodes the application of altPSM is investigated and compared to attPSM. Simulations and experiments
with several test masks showed that by use of altPSM with suitable 0°/180° coloring and assist placement 30nm smaller
contacts can be resolved through pitch with sufficient process windows (PW). This holds for arrays of contacts with
variable lengths through short and long side pitches. A further benefit is the lower mask error enhancement factor
(MEEF). Nevertheless 3D mask errors (ME) consume benefits in the PW and the assist placement and coloring of the
main features (MF) put some constraints on the chip design. An altPSM compatible 4Xnm full-chip layout was realized
without loss of chip area. Mask making showed very convincing results with respect to CDU, etch depth uniformity and
defectiveness. The printed intra-field CD uniformity was comparable to attPSM despite the smaller target CDs. Room for
improvement is identified in OPC accuracy and in automatic assist placement and sizing.
Modular OPC modeling, describing mask, optics, resist and etch processes separately is an approach to keep efforts for
OPC manageable. By exchanging single modules of a modular OPC model, a fast response to process changes during
process development is possible. At the same time efforts can be reduced, since only single modular process steps have
to be re-characterized as input for OPC modeling as the process is adjusted and optimized. Commercially available OPC
tools for full chip processing typically make use of semi-empirical models. The goal of our work is to investigate to what
extent these OPC tools can be applied for modeling of single process steps as separate modules. For an advanced gate
level process we analyze the modeling accuracy over different process conditions (focus and dose) when combining
models for each process step - optics, resist and etch - for differing single processes to a model describing the total
process.
High NA scanners with adjustable polarization are becoming commercially available. Linear polarization has been shown to significantly improve imaging performance of preferentially oriented lines. Azimuthal and tangential polarization are now becoming commercially available. The latter has less asymmetry in its imaging and can resolve critical features oriented in multiple directions at the same time. Linear y-oriented or vertical polarization was used, since at the time of this work, azimuthal and tangential polarization were not available. Such x- and y-oriented linear polarization could be used in double exposure imaging, for example. Just as for unpolarized imaging, OPC models are required for polarized imaging that are accurate in (a) fitting and predicting experimental CD values, (b) fragmenting layout, and (c) correcting the fragmented layout to target. This paper describes the results of such a first OPC verification loop. Experimental proximity data in X- and Y-orientation were measured. Source polarization and wafer stack thin film effects were included in the empirically fit OPC simulation model. A parallel investigation was undertaken using an unpolarized source. It served as the reference case. Simple test patterns as well product-like 2D layout was treated with the vertically polarized and unpolarized OPC models. A test mask was written and wafer printing results obtained. They demonstrated the validity of the approach and pointed to further OPC model improvements.
When measured proximity data are fit by an empirical OPC (optical proximity correction) model for full-chip layout processing, it is assumed that the data are accurate and that model parameter space is sufficiently well sampled. It is also assumed that outliers in the measured data are easily identifiable. Furthermore, if more sample data points are used in the fit, a better (more applicable) model will result. This paper addresses several key issues concerning the input of incorrect or insufficient data to such models. (1) How well can models average out random measurement noise? (2) Can one obtain a sufficiently good model fit using fewer data points? (3) How good are models at interpolating proximity data? (4) How well can models calibrated to a subset of the data (e.g., only medium range pitches) extrapolate outside this range? The approach employed was to start with a representative OPC proximity data set and perform model fits using different subsets of this data and different levels of additive noise. The fit results and predictive model behavior were then compared.
Increasing miniaturization and decreasing k1 factors impose continuously growing demands on optical lithography. These requirements are reflected in the need for increasingly accurate lithography simulations, which are prerequisite for successful optical proximity correction (OPC) of the mask layout. Therefore, the physical conditions of the lithography tools and their impact on the resulting printed image have to be carefully considered. The illumination distribution in scanners and steppers is commonly simplified by a top-hat (rectangular cross-section) function. The illuminator is therefore assumed to consist of either completely dark or homogeneously bright areas. In this paper, we investigate the effect of using the measured source, which can deviate significantly from a simple top-hat function, on simulation results and OPC treatment. We compare simulations with measurement and show that there are cases where significant improvements occur by using the real source distribution.
Decreasing k1 factors require improved empirical models for full-chip OPC treatment. We have investigated the improvement in calibrating experimental data using a vector thin film compared to a scalar optical model. This optical model was first compared to a commercially available resist simulator with good results. In the OPC tool used, the predicted aerial image is further modified by a variable threshold process model describing resist effects. A number of parameters in the optical portion of the model were varied to improve the final fit including focus, sigma, diffusion, pupil apodization, spherical aberration, and thin film stack parameters. It was found that the goodness of fit for the entire model (optical + process) was significantly improved (for both scalar and vector models) when diffusion and apodization were employed. The goodness of fit for the vector model was quite sensitive to stack parameters, especially the index and absorption values of both the resist and BARC. A preliminary optimization using the vector model resulted in a fit at least as good as that for the scalar model, and slightly better in defocus.
We have developed an ultrafast lithographic 2D aerial image simulator named LithoCruiserTM. It employs a novel algorithm based on Hopkins imaging (Titanium model). Compared to currently available simulation tools, LithoCruiser has demonstrated an order of magnitude increase in computational speed. This makes it well suited for predicting the printing of sizeable 2D patterns as well as the analysis of overlapping process windows for multiple feature cut-lines. With such a high computational speed, there is a need to determine whether its accuracy has been compromised. A comprehensive set of comparisons was performed against an internal standard based on Abbe imaging (Platinum model) - one that is comparable to commercially available simulators. Analyses of CD through pitch for three 1D mask types (binary chrome, dark field, and PSM) as a function of NA, illumination, and aberrations were conducted. LithoCruiser predicted trends through pitch that agreed well with both internal standard and commercially available lithography simulators. Largest deviations were no more than 5 to 10 nm with typical values of 3 to 5 nm. As the LithoCruiser accuracy setting was increased, CD values converged to target values. The accuracy of CD values was essentially independent of simulation grid setting when less than or equal to 10 nm.
We have investigated the impact due to subtle deviation form the actual illumination pupil shape to the intended setting. We show that the impact on resist CD prediction is a function of feature pitch and the predicted CD error can be significant. Consequently, the calculated MEEF through pitch can also be notably different for the non-uniform illumination aperture plane. In this study, we have investigated CD error and MEEF through pitch for 6 percent attenuated PSM and CPL mask using LithoCruiser. The annular non-ideal illumination aperture plane used in this investigation is generated externally and then imported into LithoCruiser. Here a small percentage of pixel deviation is introduced into the shape of the annular aperture to emulate the possible error that could occur in a scanner. Gaussian and SuperGaussian intensity distributions are used to compare with the ideal rectangular intensity profile. We found that the shape deviation of the distributions are used to compare with the ideal rectangular intensity profile. We found that the shape deviation of the aperture can cause a larger CD error compared to intensity variation at the aperture can cause a larger CD error compared to intensity variation at the aperture plane. It appears that the CD error and the MEEF are both larger for 6 percent attPSM than CPL mask. We have shown that by applying OPC, it is possible to reduce the predicted CD error caused by 'non-ideal' illumination aperture. The presented simulations are performed at NA equals 0.8 using KrF.
Lithographic imaging in the presence of lens aberration result sin unique effects, depending on feature type, size, phase, illumination, and pupil use. As higher demands are placed on optical lithography tools, a better understanding of the influence of lens aberration is required. The goal of this paper is to develop some fundamental relationships and to address issues regarding the importance, influence, and interdependence of imaging parameters and aberration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.