The Phase-Only Spatial Light Modulator (PLM) is a piston-mode design of the Digital Micromirror Device (DMD) that Texas Instruments DLP® Products has been developing in recent years. While the manufacturing of the PLM shares many of the same process steps of the traditional DMD, the optical system integration of the two devices are fundamentally different. As a result, new optimization is needed to maximize the performance of the PLM based on the device characteristics. This paper covers the optimization of key pixel parameters – array fill factor, mirror flatness, mirror tilt – and generally how the parameters affect a device performance metric we call Zeroth-Order Efficiency. The various improvements are modeled to ascertain expected performance gains and at what point the performance benefits achieve asymptotic behavior. Theoretical and empirical results are shown for the improved key pixel parameters and their corresponding gains made to Zeroth-Order Efficiency.
Design rule (DR) development strategies were fairly straightforward at earlier technology nodes when node-on-node
scaling could be accommodated easily by reduction of λ/NA. For more advanced nodes, resolution enhancement
technologies such as off-axis illumination and sub-resolution assist features have become essential for achieving full
shrink entitlement, and DR restrictions must be implemented to comprehend the inherent limitations of these techniques
(e.g., forbidden pitches) and the complex and unanticipated 2D interactions that arise from having a large number of
random geometric patterns within the optical ambit.
To date, several factors have limited the extent to which 2D simulations could be used in the DR development cycle,
including exceedingly poor cycle time for optimizing OPC and SRAF placement recipes per illumination condition,
prohibitively long simulation time for characterizing the lithographic process window on large 2D layouts, and difficulty
in detecting marginal lithographic sites using simulations based on discrete cut planes. We demonstrate the utility of the
inverse lithography technology technique [1] to address these limitations in the novel context of restrictive DR
development and design for manufacturability for the 32nm node. Using this technique, the theoretically optimum OPC
and SRAF treatment for each layout are quickly and automatically generated for each candidate illumination condition,
thereby eliminating the need for complex correction and placement recipes. "Ideal" masks are generated to explore
physical limits and subsequently "Manhattanized" in accordance with mask rules to explore realistic process limits.
Lithography process window calculations are distributed across multiple compute cores, enabling rapid full-chip-level
simulation. Finally, pixel-based image evaluation enables hot-spot detection at arbitrary levels of resolution, unlike the
'cut line' approach.
We have employed the ILT technique to explore forbidden-pitch contact hole printing in random logic. Simulations
from cells placed in random context are used to evaluate the effectiveness of restricting pitches in contact hole design
rules. We demonstrate how this simulation approach may not only accelerate the design rule development cycle, but
also may enable more flexibility in design by revealing overly restrictive rules, or reduce the amount of hot-spot fixing
required later in the design phase by revealing where restrictions are needed.
As a preliminary step towards Model-Based Process Window OPC we have analyzed the
impact of correcting post-OPC layouts using rules based methods. Image processing on
the Brion Tachyon was used to identify sites where the OPC model/recipe failed to
generate an acceptable solution. A set of rules for 65nm active and poly were generated
by classifying these failure sites. The rules were based upon segment runlengths, figure
spaces, and adjacent figure widths. 2.1 million sites for active were corrected in a small
chip (comparing the pre and post rules based operations), and 59 million were found at
poly. Tachyon analysis of the final reticle layout found weak margin sites distinct from
those sites repaired by rules-based corrections. For the active layer more than 75% of the
sites corrected by rules would have printed without a defect indicating that most rulesbased
cleanups degrade the lithographic pattern. Some sites were missed by the rules
based cleanups due to either bugs in the DRC software or gaps in the rules table. In the
end dramatic changes to the reticle prevented catastrophic lithography errors, but this
method is far too blunt. A more subtle model-based procedure is needed changing only
those sites which have unsatisfactory lithographic margin.
Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of the resists with and without the use of top coats. So far we can conclude that top coat/resist approach used in immersion lithography needs some more improvements (i.e. process, materials properties) in order to be implemented in high volume manufacturing.
Defectivity has been one of the largest unknowns in immersion lithography. It is critical to understand if there are any immersion specific defect modes, and if so, what their underlying mechanisms are. Through this understanding, any identified defect modes can be reduced or eliminated to help advance immersion lithography to high yield manufacturing. Since February 2005, an ASML XT:1250Di immersion scanner has been operational at IMEC. A joint program was established to understand immersion defectivity by bringing together expertise from IMEC, ASML, resist vendors, IC manufactures, TEL, and KLA-Tencor. This paper will cover the results from these efforts. The new immersion specific defect modes that will be discussed are air bubbles in the immersion fluid, water marks, wafer edge film peeling, and particle transport. As part of the effort to understand the parameters that drive these defects, IMEC has also developed novel techniques for characterizing resist leaching and water uptake. The findings of our investigations into each immersion specific defect mechanism and their influencing factors will be given in this paper, and an attempt will be made to provide recommendations for a process space to operate in to limit these defects.
Perhaps the most challenging level to print moving beyond 65 nm node for logic devices is contact hole. Achieving dense to isolated pitches simultaneously in a single mask print requires high NA with novel low-k1 imaging techniques. In order to achieve the desired dense resolution, off axis illumination (OAI) techniques such as annular and quasar are necessary. This also requires incorporation of sub-resolution assist features for improved semidense to isolated contact margin. We have previously discussed design related issues revolving around asymmetric contact hole printing and misplacement associated with using extreme off axis illumination (OAI). While these techniques offer the appropriate dense margin needed, there are regions of severe asymmetric printing which are unsolvable using optical proximity correction (OPC). These regions are impossible to avoid unless design rule restrictions or new illumination schemes are implemented. We continue this work with discussions revolved around illumination choices for alleviating these regions without losing too much dense margin.
The immersion effects on lithography-system performance have been investigated using a ASML TWINSCAN XT:1250Di immersion-ArF scanner (NA=0.85) and Tokyo Electron CLEAN TRACK ACT12 at IMEC. Effects of immersion-induced-temperature change and effects of material-top surface are discussed in this paper. The wafer-stage temperature is measured during the leveling-verification tests and compared with the observed residual-focus-error change. The results indicate that stage-temperature change under an immersion environment can induce a focus change. In this paper, it was proved that the improved-temperature-control stage is effective to mitigate the immersion-specific focus change. The immersion effect on overlay is also investigated as a function of material top surface. It was demonstrated that the effect of material-receding-contact angles on the grid-residual errors (non-correctable errors) is small in the latest-immersion-hardware configuration of the scanner. However, there was a tendency that material with a smaller-receding-contact angle has a larger-wafer scaling although it is a correctable parameter. This can be caused by the first-layer wafer shrinkage due to more water evaporation on the more-hydrophilic surface. The immersion effect on scanner-dynamic performance is then investigated by changing the material-top surface and the scan speed of the scanner. It was turned out that the scan synchronization is not much affected by differences of material receding-contact-angles for the new configuration of the scanner. Moving-standard deviation of the synchronization error in scanning direction (y-direction) is slightly more affected by increased scanning speed, although it stays within specification even at a maximum scan speed of 500 mm/sec. Finally the immersion effects on resist-profile uniformity are examined. It was found that lower-leaching-film stacks (with a top coat or a lower leaching resist) seem to mitigate the variation of resist-profile uniformity.
Among the valid gate pattern strategies for the 65nm technology node, att-PSM offers the advantage in cost and mask complexity over other contenders such as complimentary alt-PSM and chromeless phase lithography (CPL). A combination of Quasar illumination and sub-resolution assist features (SRAFs) provides a through pitch solution with a common depth of focus (DOF) better than 0.25um to support the aggressive scaling in both logic and high density SRAM. A global mask-source optimization scheme is adopted to explore the multi-dimensional space of process parameters and define the best overall solution that includes scanner optics such as NA and illumination, and SRAF placement rules for 1-dimensional line and space patterns through the full pitch range. Gate pattern capabilities in terms of DOF, exposure latitude, mask error enhancement factor (MEEF), optical proximity correction (OPC), CD control, and aberration sensitivity are reported in this paper. Conflict resolution and placement optimization are key to the success of implementation of SRAF to the complex 2-dimensional layouts of random logic. Reasonable CD control can be achieved based on the characterization and simulation of CD variations at different spatial and processing domains from local to across chip, across wafer, wafer-to-wafer, and lot-to-lot. Certain layout restrictions are needed for high performance devices which require a much tighter gate CD distribution. Scanner optimization and enhancement such as DoseMapper are key enablers for such aggressive CD control. The benefits, challenges, and possible extensions of this particular approach are discussed in comparison with other techniques.
Perhaps the most critical lithographic challenge at teh 65 nm node can be found printing contact holes for random logic. Achieving all pitches from dense to isolated simultaneously in a single mask print requires high numerical aperture (NA) with novel low-k1 imaging techniques. As is typical in complex engineering problems, requirements compete against each other. The requirement to achieve the desired dense resolution suggests the use of off axis illumination (OAI) techniques such annular and Quasar. At the same time, the need to meet other figures of merit (FOM) such as depth of focus (DOF) and mask error enhancement factor (MEEF) for larger pitches are strong considerations for choosing the more conventional illumination conditions. Moreover, previously unconsidered FOMs such as contact asymmetry and displacement must now also be strongly considered. In particular, we discuss design limitations which may be incorporated to avoid fundamental patterning issues when using OAI and sub-resolution assist features (SRAF) for printing CT level at 65 nm node.
In the face of Moore's Law, the lithographic community is finding increasing pressure to do more with less. More, in the sense that lithographers are expected to use an exposure wavelength "lambda" that is shrinking at a slower rate than the critical dimensions (CDs) of devices. This has resulted in the introduction of complicated Resolution Enhancement Technology (RET) schemes. Less, in the sense that the competitive marketplace has resulted in shortened development cycles. These shortened development times mean that lithography and RET teams are often expected to demonstrate "first pass success" with increasing complex lithographic solutions. Unfortunately, first silicon on product prototypes may reveal deficiencies in an OPC infrastrcuture which had been developed using only research and development (R&D) testdie. The primary cause of these deficiencies is that the development and test-structure layouts frequently lack the 2D complexity of real circuitry. OPC models and lithography R&D traditionally compensate well for failures and marginal sites on the simple patterns of R&D testdie. The more complex geometries of real layouts frequently present new challenges. Here, we describe a program initiated at TI to add a complex pattern to the very first test reticle generated for a new technology node. This pattern is auto-generated and includes a random combination of representive circuits at the design rule for that node. OPC is applied to the pattern almost immediately after layout. The distribtion of printed features and marginal sites can then be identified early using simulation. Scanning Electron Microscope (SEM) images of resist and post-etch features can further identify sites requiring changes once reticles are received. We have shown that this early OPC R&D on complex geometries can prevent several OPC revision cycles and enable faster volume yield ramp.
This paper presents an analysis of quantum statistical limits on photolithographic imaging of very large arrays of semiconductor features. In flux limited imaging systems the photon counting statistics contribute to the overall process variation. There is a direct relationship between exposure latitude and sensitivity to photon counting statistics. For example, in an array of 1 million 90 nm contact holes imaged with 20 mJ/cm2 of 157 nm light 1350 of these holes will receive a total dose less than 98% of the mean dose. If the exposure latitude is 4% then these 1350 contacts will print out-of-spec as a result of the Poisson statistical distribution of photon-limited light sources (sometimes called shot-noise). High yield for volume semiconductor manufacturing requires failure rates well below this level. Each new device generation requires more functional transistors than the previous one, increasing approximately linearly. As the imaging wavelength decreases the net number of photons available decreases linearly (assuming constant laser power). The area of a contact hole decreases as the square of the critical dimension. Thus the fraction of chips with at least one contact hole receiving inadequate dose increases approximately as the fourth power of the wavelength. This presents serious implications for 157nm lithography semiconductor yield. Electron imaging systems are not immune to this either, Poisson limited intensity uniformity is nearly identical with that of optical imaging. 157 nm lithography is marginal to photon-statistics limited yield, and 13 nm EUV lithography yield is almost certainly photon limited. In addition, as transistor array size increases the 0.987 ppb failure rate of a 6-sigma process will not be sufficient for high yield. Thus 8 or 9-sigma processing will be needed along with significant improvement in exposure latitude and optimized resist sensitivity will be necessary.
Amitava Chatterjee, Mark Mason, K. Joyner, Daty Rogers, Doug Mercer, John Kuehne, A. Esquivel, P. Mei, Suhail Murtaza, Kelly Taylor, Iqbal Ali, S. Nag, Sean O'Brien, S. Ashburn, Ih-Chin Chen
This paper presents a study of the issues in integrating the pattern, fill, planarization and surface cleanup processes to design a shallow trench isolation (STI) flow suitable for 0.25 micrometers CMOS technologies. Technological choices and their effects on the characteristics of the STI technology are discussed. Experimental data is presented to illustrate how process choices at various stages of the STI flow are made to optimize the STI structure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.