We have been researching new mask blank materials for the next generation lithography (NGL) and developed
a new mask blank with low-k phase shifter [1] [2]. The low-k phase shifter consists of only Si and N. In our previous
work, we reported the advantages of developed SiN phase shift mask (PSM) [2]. It showed high lithographic
performance and high durability against ArF excimer laser as well as against cleaning. In this report, we further verified
its high lithographic performance on several types of device pattern. The SiN PSM had high lithographic performance
compared with conventional 6% MoSi PSM. Exposure latitude (EL) and mask enhancement factor (MEEF) were
especially improved on originally designed Gate, Metal and Via patterns.
The retardation of the development of NGL techniques causes the extension of ArF immersion lithography for 1x-nm node. We have been researching the new phase shift mask's (PSM) material for the next generation ArF lithography. In this reports, we developed the low-k, high transmission PSM and evaluate it. The developed new PSM shows good lithographic performance in wafer and high ArF excimer laser durability. The mask processability were confirmed such as the CD performance, the cross section image, the inspection sensitivity and repair accuracy.
In this report, we compared the lithographic performances between the conventional positive tone development (PTD) process and the negative tone development (NTD) process, using the lithography simulation. We selected the MoSi-binary mask and conventional 6% attenuated phase shift mask as mask materials. The lithographic performance was evaluated and compared after applying the optical proximity correction (OPC). The evaluation items of lithographic performance were the aerial image profile, the aerial image contrast, normalized image log slope (NILS), mask error enhancement factor (MEEF), and the bossung curves, etc. The designs for the evaluation were selected the simple contact hole and the metal layer sample design.
Extreme Ultra Violet Lithography (EUVL) is the most leading next generation lithographic
technology post ArF immersion lithography. The Structure of EUV mask differ from traditional
photomask., especially backside coating.
E-chuck is employed to fix the EUV mask on the scanner. Therefore a conductive film on
backside of the EUV mask blank is needed. We investigated what have an influence on mask
manufacturing process caused by the backside coating differed from a traditional photomask.
From our experiment, at the mask fabrication process, especially RIE process to etch Ta
absorber, the CD variation is occurred by electric conduction between the backside conductive
coating and the absorber on the Mo/Si multi-layer.
As a result, the EUV mask blank without electric conduction between the backside conductive
coating and the absorber on the Mo/Si multilayer is necessary.
Extreme Ultra Violet Lithography (EUVL) is one of promising candidates for next generation lithography, 32nm node
and beyond. Authors are developing EUV mask process targeting full field EUV exposure tool. Unlike the conventional
optical mask, EUV mask is reflective type mask. To reflect 13.5nm wavelength light, 40 pairs of Mo/Si multilayer
(ML) is used for reflective layer. Reflective layer is covered by capping layer. The capping layer protect reflective layer
from absorber etching, defect repair and environmental condition. Top of absorber layer is covered by low reflective
(LR) layer to achieve high contrast between the etched and not etched portion. Back side of EUV mask is covered by
conductive film for electrostatic chuck use. In this paper, we will report current process development status of EUV
mask for full field EUV exposure tool. Absorber patterning process including resist patterning and absorber etching
were developed. Thin resist use and small resist damage dry etching process achieved pattern resolution of 32nm node.
Defect inspection was also evaluated using DUV reticle inspection tool. Ta-based absorber on ruthenium (Ru) capped
ML blanks was used for this evaluation. Because, Ru material has high resistivity to absorber etching plasma, it enable
buffer layer less EUV mask structure. Ru also has better property on oxidation resistance compared to standard silicon
(Si) capping layer.
Extreme Ultra Violet Lithography (EUVL) is considered to be a major candidate for the Next Generation
Lithography. To achieve reflective optics, EUV mask consists of absorber layer, reflective multilayer (ML) with
protection capping layer. Buffer layer can be used for silicon capped EUV blanks to enhance the etch selectivity
against absorber etching.
It has been reported that Ruthenium (Ru) material has better property on oxidation resistance
compared to standard silicon (Si) capping layer. Ru capping layers have advantage for its high etch selectivity, which
enables buffer layer free EUV mask structure. However, thin Ru layers should be designed due to high EUV
absorption property.
This paper includes the evaluation of current process performance of Ta-based absorber process on Ru capped ML
blanks. It also includes resist patterning by EB writing, Ru capping layer etch effect as well as absorber patterning
with CD uniformity, linearity, Line Edge Roughness (LER) and selectivity between absorber and resist or Ru capping
layer. Inspection result is also included as a recent result.
Double patterning technology (DPT) is one of candidates to achieve 45nm or 32nm half-pitch and is getting
popular as ITRS2006update(1). ITRS2006update specifies the tight specification of image-placement and the
difference of CD mean-to target of two masks, and they are also evaluated and reported(2). From photomask
fabrication viewpoint or just even employing actual wafer exposure experiment, it's much difficult to evaluate
actual impact on wafer using DPT. Because what observed on wafer is mixture of not only photomask-property but
also exposure's one and new topic of hard-mask process'. In this paper, one evaluation procedure will be proposed
using actual two photomasks and the DPT impact on wafer just from two photomasks will be demonstrated. Then
the approach of wafer image composing procedure with photomask-SEM image, photomask measurement and
exposure simulation will be discussed
The ArF water immersion is one of the most promising candidate technologies for 45-nm node lithography. But it have
been predicted that the realization of 32-nm node (minimum half pitch 45nm) is very difficult when using the water
immersion of 1.35 NA and single mask exposure. Therefore, some double-exposure technologies are expected for
32-nm node logic device. However, the single mask exposure would be expected because it has very big advantage of
short process time and/or cost etc., compared to other double-exposure methods. In this research, we evaluated two NA
setting of ArF immersion as the models and the required structure and error budget of photomasks. One is the maximum
NA of water immersion (= 1.35) and another is using high refractive index materials with NA of 1.55. The lithographic
performance was evaluated for line and space pattern through various pattern pitches with optical proximity correction
(OPC). The evaluation items of printing performance are CD-DOF, contrast-DOF and MEEF, etc. The suitable kind of
mask and structure are also considered with effect of several kinds of mask topography error. The limit of single mask
exposure will be examined by setting the restriction such as minimum half pitch and so on.
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of masks and substrates has been required. Mainly,
following three materials, quartz substrates, absorber or phase shifter materials and pellicle films, have been discussed
for that issue.
Item to be discussed on quartz substrates is birefringence. It has been said that birefringence of quartz substrates
affects printed CD on the wafer and is required to control on the masks or substrates. We will report how substrate
birefringence affects the printed CD error by 3D simulations.
Item of absorber or phase shifter material is optical characteristics. We will discuss about how optical parameters of
mask materials affect to diffracted light intensity balance and how these characteristics also affect to printing
performance by 3D simulation results. In the result of this section, we will show current 6%EAPSM film has good
printing performance down to half pitch 45nm.
Item of pellicle film is thickness optimization. It has been described in some papers that the issues will occur if the
film's characteristics will not been changed. Main issue is transmission change caused by film thickness variations. We
will report current pellicle film's performance and will propose how to minimize this issue by the thickness optimization.
In order to confirm those items, we used the pattern model as minimum half-pitch = 45nm and target CD on the
wafer = 45nm for 3D simulations. The illumination condition of the scanner was used as maximum NA=1.35, Dipole or
Cross quadrupole shape and polarized illumination.
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of mask materials and pellicle films has been required. In
order to analyze the influence of mask material's optical characteristics, we have proposed to use the AIMSTM system
measuring diffraction intensity balance in previous work. That was enabled by acquiring pupil plane images using the
Bertrand lens in the AIMSTM system to measure selected area's diffracted light.
In this study of mask material evaluation, we used same functionality of AIMSTM system, MonoPole illumination
and Bertrand lens, as previous work but other direction's pole is also used on the illumination aperture to cover total
diffraction orders of Cross-quad illumination because this illumination is more flexible for x and y patterns. In order to
get diffracted light of 45nm half-pitch, hyper-NA e.g. NA=1.35 was applied and the AIMSTM 45-193i Alpha system was
used for this evaluation. The examinations were performed with binary and half tone PSM with half pitch 40 to 150nm
on a 1x scale and fixed half pitch 45nm with various mask bias. We confirmed the relation between diffractions' intensity
balance and wafer printing performance for each material and we compared them to 3D simulation results.
Moreover, by using the same functionality of AIMSTM system, the transmission change by pellicle film was also
examined. We have prepared two different thickness pellicles to compare transmission change and printed CD on the
wafer. Intensity profile at pupil plane on the clear region of the mask was acquired with Bertrand lens and conventional
large sigma setting for both with and without pellicle film on the mask. By comparing transmission distribution change
between with and without pellicle, we could calculate transmission loss by pellicle at large incident angles. For this
experiment, NA=1.40 was applied and the AIMSTM 45-193i Alpha system was also used. The examinations were
performed with half tone PSM at half pitch 45nm and 65nm on a 1x scale on linear polarized DiPole illumination.
As a result, we have confirmed good agreement between AIMSTM measurement data and optical 3D simulations. In
conclusion, the AIMSTMsystem is a valuable tool for analyzing diffraction efficiency or intensity distribution on the
pupil plane and comparison to wafer printing performance.
In recent years, model-based OPC has been an essential technique to achieve better yield or even if resolution itself.
Currently available OPC software employs optical simulation with thin-mask model or approximated model. However
for 45nm-node and beyond, it is well-known that there is difference between 2D simulation by calculating thin-mask
model and 3D rigorous simulation by calculating thick-mask model such as FDTD or RCWA. Especially, it is expected
that larger incident angle of off-axis illumination and higher aspect ratio of mask topography lead larger differences
between them. On the other hand, thick-mask model OPC consumes much computation time, so it will not be practical.
The difference of these two simulation models is caused from the effect of mask topography and behavior of
electromagnetic field on 3D rigorous simulation. The effect of mask topography also creates the difference of diffraction
amplitude and phase at Fourier optics stage or imaging from diffraction. Then such diffraction orders with thin and
thick-mask model was focused and evaluated at first approach.
In this paper, the difference of diffraction orders' amplitude between two simulation models caused by illumination angle,
mask materials is analyzed and then the difference of OPC bias for various pattern pitches is presented. Then from this
result, the compensation methodology of the diffraction differences is discussed and simple compensation approach for
OPC to improve the accuracy with thin-mask model's OPC is demonstrated. As a result, one new solution for OPC
without additional computer time is proposed.
Double Patterning Technology (DPT) has been evaluated and reported since 32nm half pitch is recognized to be required
with conventional immersion ArF lithography. DPT requires pattern decomposition into two pattern sets and the
decomposition becomes more complex for especially so-called logic pattern including irregular pattern placement and
many-vertices polygons. The innocent decomposition often creates forced segmentation of those polygons and two
different aspect of photomasks such as density or substantial line direction. Those decomposed photomasks not only
produce large possibilities of different error behavior but also leave annoyance complexity untouched.
It is well known that line-ends and dense twisted lines produce large MEF. Then tighter specification for photomask
fabrication have been required since the resolution limit was getting below the exposure wavelength. So the
decomposition that creates tight patterns into separate two photomasks has possibilities of the fabrication load lighter.
In this paper, the decomposition of criteria for DPT which helps photomask fabrication with a small possibilities is
evaluated and discussed. Furthermore though it's getting to popular that overlay and CD uniformity of photomasks for
DPT impact to completed CD with wafer exposure directly, considering other errors such as CD shift or phase error
which are supposed to recover by exposure in addition to those errors are also studied.
As for 32-nm node (minimum half pitch 45-nm) logic device of the next generation, the leading semiconductor
device makers propose the following three kinds of lithography techniques as a candidate, multi-exposure with water
immersion lithography. So we will evaluate them.
In previous work, we evaluated the resolution limit and printing performance through various pitches of 45-nm
node (minimum half pitch 65-nm) lithography. We evaluated the alternate aperture phase shift mask(alt-PSM) of NA=0.93
(dry and immersion) and various resolution enhancement technologies (RETs) with off-axis and polarized illumination of
NA=1.07(water immersion). The minimum k1 examined at previous time was 0.31 and 0.39 respectively. To achieve 32-nm
node of the next generation with water immersion lithography, we must use higher NA but yet severe k1. The combination
of the strong RET, polarization and multi-exposure is thought to be required. In order to resolve severe k1 (<0.3), the double
patterning is thought as a promising candidate technology, though the disadvantageous points will appear such as very
severe alignment accuracy and the twice process of wafer. In this report, we will discuss some RETs such as double dipole
lithography(DDL), double patterning lithography(DPL) and alt-PSM that have sufficient printing performance through
various pitches of 32-nm node. We evaluate the effect and the performance of the selected lithography side RETs and mask
material RETs for each, using optical simulation software.
As pattern feature sizes on the wafer become smaller and smaller, requirements for CD variation control has become a critical issue. In order to correct CD uniformity on the wafer, the DUV light transmission distribution of the photomask was altered using an ultra-fast pulsed laser technology. By creating a small scattering pixel inside the quartz body of the mask, a multitude of such points creates Shading Elements inside the quartz according to a predetermined CD variations distribution map. These Shading Elements reduce the dose of scanner's laser illumination onto the wafer per a local area. Thus by changing the local light intensity, inside the exposure field, to a required level during the photolithographic process the wafer CD is changed locally inside the field. This complete process of writing a multitude of Shading Elements inside the mask in order to control the light transmission and hence wafer level CD locally is called the CD Control (CDC) process.
We have evaluated the tool utilizing Ultra fast laser pulses (CDC 101) for local transmission and CD controllability on the wafer. We used Binary and Att-PSM test masks and three kinds of test patterns to confirm the sensitivity of transmission and CD change by the attenuation levels of Shading Elements which is sequentially changed from 0% to 10%. We will compare the AIMS results to printed CD on wafer or simulation results, so that we can correlate the transmission change and CD change by the attenuation levels. This paper also reports the CD uniformity correction performances by using attenuation mapping method on Binary mask. We also cover how Shading Elements affect the phase and transmission on the Att-PSM.
In order to realize 45 nm node lithography, strong resolution enhancement technology (RET) and water immersion will be needed. In this research, we discussed about various RET performance comparison for 45 nm node using 3D rigorous simulation. As a candidate, we chose binary mask (BIN), several kinds of attenuated phase-shifting mask (att-PSM) and chrome-less phase-shifting lithography mask (CPL). The printing performance was evaluated and compared for each RET options, after the optimizing illumination conditions, mask structure and optical proximity correction (OPC). The evaluation items of printing performance were CD-DOF, contrast-DOF, conventional ED-window and MEEF, etc.
It's expected that effect of mask 3D topography becomes important at 45 nm node, so we argued about not only the case of ideal structures, but also the mask topography error effects. Several kinds of mask topography error were evaluated and we confirmed how these errors affect to printing performance.
Alternating Aperture Phase Shifting Mask (Alt-APSM) has been expected as one of the practical techniques for 45nm node ArF lithography. We have already discussed and proposed the Single trench with undercut (UC) and bias structure is the primary candidate for 65nm node Alt-APSM structure. In fact, we have selected this structure as a standard in production for 65nm node Alt-PSM. For the 45nm node, according to the design shrinkage, mask rule such as MRC which specify minimum chrome CD between 0 and pi degree apertures and etc. is getting tighter. So, we need to consider about single trench with no undercut and bias structure. Such two types of structure are the candidates for 45nm node Alt-APSM. Exposure conditions will be considered as 0.9 or higher NA and the immersion technology as well. In this work, we will discuss about 45nm node Alt-PSM structure in terms of lithographic performance by using 3D rigorous optical simulation software. Two types of structure, single trench with UC and bias, and single trench with No UC and bias are compared. We examined the following items to find optimum Alt-PSM structure, 0/pi space bias to minimize CD difference at the wafer, quartz depth to optimize effective phase and optical proximity correction (OPC) to adjust printed line CD in through pitch condition. Wafer printing performance will be evaluated by the stability of line CD and 0-pi CD difference, contrast, NILS, phase angles, MEEF, ED-window and gate position shift.
As it is becoming clear that the 65nm node lithography would have no other alternative than "193nm" reinforced with all the possible RETs, "tricky" masks such as Alternating PSMs, Chromeless masks, or Enhancer masks might become inevitable. Most of the "tricky" masks will need the quartz substrate to be etched to give the phase shift. This means that an etching process without an etch-stop or an interface between different materials should be applied. We evaluated Qz etching process and optimized etching condition. Phase shift measurement system (λ 248 nm) and atomic force microscope were used for our measuring Qz depth and profile. And we can measure narrow space to 0.2 um size. As a result of optimized condition, Qz depth uniformity is 3sigma 1.5%, cross section is vertical sidewall and rectangular corner, and linearity error is 4.5% with isolated space and 9.0% at hole. And, we checked this linearity error does not affect so much to wafer printing, using aerial software simulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.