EUV lithography is expected to be the most promising technology for semiconductor device manufacturing of the 7nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV light reflectance (<0.05%) have been proposed; such an image border is referred to as a black border (BB). In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border. However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD change was caused by DUV Out Of Band (OOB) light which is emitted from the EUV light source. In our previous study, a new types of multilayer etched BB called ‘Hybrid Black Border’ (HBB) had been developed and showed a good potential for DUV light suppression. OOB light reflection on HBB is ~3x lower than that of normal BB. Imaging performance was also demonstrated on NXE:3300 scanner system for N10 imaging structures of 16nm dense lines and 20nm isolated spaces. These results were compared to the imaging results obtained for a mask with the normal BB and 3x improvement was achieved; less than 0.2 nm CD changes were observed in the corners of the die. However, OOB light reflectance suppression was still not enough in short wavelength. In this study, we focused on OOB light reflectance reduction in short wavelength, and we developed a new HBB called ‘Advanced HBB’. We measured the OOB light reflectance of Advanced HBB by synchrotron radiation facility at PTB (Physikalisch- Technische Bundesanstalt, Germany). These results were compared to the results obtained from previous HBB. Then Advanced HBB achieved over 50% OOB light reflectance improvement in average wavelength 100nm to 270nm. Imaging performance also simulated in the edges and corners of the die. The CD-drop is expected to be more improved for Advanced HBB than previous HBB. As a result, it is expected the implementation of the Advanced HBB will help to mitigate the effects of possible increases of OOB light in the future higher power EUV sources.
EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and
beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between
the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When
printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring
dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic
EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been
proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was
developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border
(BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It
was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a
multilayer etched BB were evaluated and showed a good potential for DUV light suppression.
In this study, a novel BB called ‘Hybrid Black Border’ (HBB) has been developed to eliminate EUV and DUV OOB
light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB
is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern,
defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on
NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the
earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the
corners of the die. A CD uniformity budget including impact of OOB light in the die edge area is evaluated which shows
that the OOB impact from HBB becomes comparable with other CDU contributors in this area. Finally, we state that
HBB is a promising technology allowing for CD control at die edges.
EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.
The critical layer masks for 14 nm and 10 nm logic nodes are typically bright field, and the key features are opaque
structures on the mask. In order to meet the tight critical dimension (CD) requirements on these opaque features the use
of a high quality negative tone chemically amplified e-beam resist (NCAR) is required. Until very recently the only
negative tone e-beam resists available for use by the mask industry were the traditional cross linking type in which ebeam
exposure cross links the material and makes it insoluble in developer. In this paper we will describe the
performance of a new polarity switching type of NCAR resist that works by changing the solubility of the exposed resist
without cross linking. This has the advantage of significantly reduced swelling and scumming and resulted in major
improvements in the resolution of heavily nested features and small clear features on the mask. Additional detailed
characterization results will be described.
Resist materials rely on solubility differences between the exposed and unexposed areas to create the
desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area
causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone
resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed
area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm
optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size
blob-like defects were found in large numbers under certain exposure conditions. This paper will describe
the process and methodologies used to investigate these blob defects.
Variations in critical dimension (CD) as a function of the proximity of an individual feature to other exposed areas are a
continuing problem both in mask fabrication and in optical lithography. For example, the CD uniformity (CDU) may
degrade significantly depending on the proximity to densely or sparsely exposed areas. These pattern density effects will
continue to worsen as feature sizes decrease to 22 nm and below.
Pattern density effects in electron beam lithography using chemically amplified resists are believed to arise from several
sources. One such source, fogging, refers to the backscattering of secondary electrons onto the resist to cause deviations
from the nominal pattern size. A second contributor is acid volatility, where photogenerated acid is presumed to
redeposit on the wafer or mask during exposure or bake; here we refer to this effect as chemical flare. A third source of
pattern density effects is develop loading, which results in local depletion of developer in highly exposed regions. All
three of these may simultaneously contribute to a net observed CD variation.
In this report we describe the application of two different techniques for evaluating these proximity effects. The first is
based on electron-beam lithography patterning, and compares CD values of test patterns which are exposed under brightfield
and dark-field conditions. The second uses a series of different test patterns formed by DUV (248nm) exposure and
a custom liquid flow cell to separately characterize resist related density effects.
The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks.
The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this
regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging
performance of critical mask patterns by degrading the common lithography process window and by magnifying
the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by
decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our
effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner
than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate.
For expediency, the thin absorber development effort focused on using existing absorber materials and deposition
methods. It was found that significant changes in film composition and structure were needed to obtain a
substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous
studies to assess the mask making performance of the thinner absorber material were required and will be
described. During these studies several significant mask making advantages of the thin absorber were
discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up
to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was
another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the
potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to
corner gap.
Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use
for fabricating masks for the 22 nm node and beyond.
In optimizing e-beam resist process conditions for photomask lithography, the primary
performance measurements for optimization are resolution, critical dimension uniformity
(CDU), line edge roughness (LER), and linearity. Through technology nodes, one
parameter that has consistently shown a critical impact on these factors is the post
exposure bake (PEB) condition. With 32nm e-beam resist technologies having reduced
temperature sensitivity, this paper investigates the current impact of PEB conditions. The
PEB assessment will summarize the influence of PEB temperature, duration and
environment flow on 32 nm positive tone resists by reporting and analyzing two of the
primary performance measurements: CDU and LER.
Use of optical photomasks will extend to the 22-nm node and beyond. Mask minimum resolution and critical dimension
(CD) requirements for this node are very challenging to the mask industry. Optimization of resist materials and resist
thickness are key factors for improving CD performance. In general, thinner resists result in better minimum resolution
performance. The minimum useable resist thickness is often linked to the chrome hard mask dry etching performance.
More specifically, improvement of chrome etch rate selectivity to resist while simultaneously maintaining good CD
performance is difficult. In order to use a very thin e-beam resist, the underlying chrome hard mask material thickness
needs to be thin or it needs to be comprised of a material that has a fast etch rate and good dry etch selectivity to resist.
Use of thin and/or fast etch rate hard mask materials that are capable of reducing dry etch induced CD error such as etch
bias, etch bias uniformity, etch bias linearity, and etch global loading effect is required for meeting 22-nm mask
requirements. In this paper, the dry etching effect dependence on hard mask thickness, hard mask material composition
and resist thickness for building advanced binary masks for 22-nm node is studied. The results from this work will show
that dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect
are significantly improved by use of an ultra thin or high etch rate hard mask material.
Photomask feature size has decreased in accordance with constant downscaling of semiconductor device size with
generation changes in every 2-3 years, as in the ITRS Roadmap. However, since exposure wavelength has been unable to
keep its pace with decreasing feature size, resolution enhancement techniques have been used to bring the generation
changes in photomask technologies. A typical resolution enhancement technique of using sub-resolution assist features
(SRAF) requires patterning of small features and that increases difficulties in mask manufacturing. Under such
circumstances, we are presenting a study focusing on EB-resist development in the manufacturing process.
In this paper, we study and report development methods aiming to improve develop loading effect and resolution limit.
Several issues, including resolution, etch resistance, chromium-resist interface adhesion,
and sensitivity with post coat delay, complicate the selection of photoresists for 32nm
photomask development from the broad pool of candidates. These issues and others are
addressed after an initial screening of critical resist characteristics to reduce the number
of contenders. A balanced initial screening of photoresists for 32nm photomasks is
presented including global and local critical dimension uniformity, line edge roughness,
and resolution of low and high sensitivity positive and negative tone photoresists, relative
to exposure duration. The multi-dimensional assessment of candidate resists for
photomask applications was summarized with emphasis on the process of selection.
During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle
Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature
size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant
impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making
process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films
were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative
mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank
based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly-
developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity,
through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the
different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers
significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG
blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..
Two key parameters of attenuated phase shift masks are critical dimension uniformity (CDU) and phase uniformity.
This study examines the important role that plasma etch plays in determining these parameters. For optimal results, the
impact which Cr and MoSi etch have on uniformity must be understood not only individually, but also as a
complementary pair. A two-step MoSi etch was developed; the first step was tuned to have a higher etch bias at the edge
than at the center, while the second step had a very uniform etch bias. By controlling the fraction of the MoSi consumed
by each step, the MoSi etch was adapted to complement the Cr etch and thus optimize overall CDU and phase
uniformity.
One of the most important parameters of Attenuated Phase Shift Masks (APSM) is the uniformity of the phase over the
active area of the mask. Phase uniformity is an important component of lithographic process window stability.
Typically, an APSM blank consists of a quartz substrate upon which a Molybdenum Silicide (MoSi) attenuating film and
a Chromium (Cr) film have been deposited to act as a hard mask for the MoSi etch. There are many factors that
contribute to phase non-uniformity of the final mask: thickness non-uniformity of the films, non-uniformity of the Cr
etch and MoSi etch, and non-uniformity of the MoSi overetch into the quartz substrate. Phase of a completed mask is
routinely measured, but quantifying how these individual components contribute to the overall phase non-uniformity is
challenging. This report focuses on understanding how MoSi etch contributes to phase non-uniformity. Phase
uniformity is compared for three different MoSi etch processes.
As optical lithography is extended for use in manufacturing 45 nm devices, it becomes increasingly important to
maximize the lithography process window and enable the largest depth of focus possible at the wafer stepper.
Consequently it is very important that the reticles used in the wafer stepper be as flat as possible. The ITRS roadmap
requirement for mask flatness for 45 nm node is 250 nm. To achieve this very tight reticle flatness requirement, the stress
of each film present on the mask substrate must be minimized. Another key reticle specification influenced by film stress
on the mask blank is image placement. In this paper, we will describe the development and detailed characterization of a
new low stress Molybdenum Silicide (MoSi) film for use in manufacturing 45 nm node critical level attenuated phase
shift masks to be used in 193 nm immersion lithography. Data assessing and comparing the cleaning durability, mask
flatness, image placement, Critical Dimension (CD) performance, dry etch properties, phase performance, and defect
performance of the new low stress MoSi film versus the previous industry standard A61A higher stress MoSi attenuator
film will be described. The results of our studies indicate that the new low stress MoSi film is suitable for 45 nm mask
manufacturing and can be introduced with minimal changes to the mask manufacturing process.
The properties of phase shifting attenuator films are quantified in a variety of ways. Transverse dimensions are
measured by optical microscopes or scanning electron microscopes. Vertical dimension and profiles are measured by
atomic force microscopes or indirectly by optical scatterometry. The complex refractive index of an attenuator film can
be characterized by ellipsometry or by spectroscopic analysis of reflected and transmitted light. Transmission and phase
measurements can be made with optical interferometric techniques. Data acquired in these ways can be used as inputs
to simulation programs to model the image forming characteristics of the films. For simplicity and speed of calculation,
the simulation programs typically use a thin-mask approximation, in which the vertical absorber geometry is ignored
and the phase shifting attenuator regions are characterized only by their transmission, phase shift, and two-dimensional
geometric shapes. Inclusion of the full three-dimensional profile and complex refractive index of the absorber can be
done, but at the cost of greatly increased calculation time and a loss of the simplicity of understanding afforded by the
thin-mask model. For example, the thin-mask model assumes that every geometrical feature etched into a given
attenuator film will have the same phase and transmission properties. Comparison of thin-mask modeling results with
the full three dimensional model shows that this assumption is not true. The effective dimensional bias, phase,
transmission, and defocus are strong functions of the feature size, pitch, and complex refractive index of the film. Three
dimensional simulations were run for several commercial and developmental high-transmission phase-shifting
attenuator films. The effective phase and dimensional printing bias were calculated as a function of pitch for each film.
Surprising differences were found in the results for the various film types.
Three types of high transmission attenuated phase shift masks were evaluated. The attenuating materials were obtained from commercial and non-commercial sources. Various key performance metrics were investigated. Blanket film transmission and reflection was measured at various wavelengths. Laser durability and cleaning durability were
measured. Standard dry etch processes were used for each film and the profile and surface properties were compared. Final mask transmission and phase were also measured. The summarized results show clear benefits of using some high transmission materials relative to others.
The phase shift mask (PSM) is one of the most effective approaches to improve ArF lithography performance. Recently, the quartz dry etching technology plays an important role to fabricate the PSM, such as space bias type Alternating (Alt.) PSM and chrome-less phase lithography (CPL) mask. The quartz etching profiles seems to be affected the lithography performance. In this paper, preliminary, we evaluate the nominal influences of quartz profile by rigorous electromagnetic field simulation. Then influence of the quartz profile is investigated by measuring the real masks. In this experiment, we intentionally fabricate Alt. PSM and CPL masks with the tapered side-wall and deeper micro-trench. Lithography performances of the real masks are measured by the aerial image measurement system (AIMS fab193). We compare the result of AIMS with simulation. We investigate the AIMS measurement well corresponds to the simulation. Side-wall angle and corner rounding strongly affect the lithography performance. However, micro-trench doesn’t affect a lot.
The increase of MEEF(Mask Error Enhancement Factor) as well as the life prolonging of the ArF lithography with low k1 makes the demand for the mask quality more and more severe . Alt-PSM (Alternating Phase-Shifting Mask) is one of the most effective approaches to the resolution improvements of the ArF lithography. In addition, the improvement of MEEF can be expected in Alt-PSM . In this study, firstly Alt-PSM was manufactured containing programmed phase defects.
The programmed phase defects are variable type and multiple phase angles. The phase differences of these defects are 180,120 and 60degree. Two types of chrome line width were placed (280nm and 400nm) with four different pitches (1:1.1:1.5,1:2,1:5). Two programmed phase defects type (divot and bump) placed on isolated and on edge.
The printability of the phase defect was evaluated by using Aerial Image Measurement System (AIMS-fab193 of Carl Zeiss Co.) In this study, the printable defect was defined to be a defect, which CD error size is within +/-5% and DOF was +/-100nm on wafer.
And, the defect detection capability was confirmed by using TeraScan( KLA-Tencor Co.)
Finally, the real existence situation of the natural phase defect in imitated 65nm node production mask was estimated by using TeraScan with optimized inspection condition.
In addition, the detected phase defect verified the printability. As a result of this verification, it turned out that a practical inspection was possible of Alt-PSM for 65nm technology node.
The alternating phase-shift mask (alt. PSM) is one of the most effective approaches to improve a resolution of the 65nm logic gate structure in ArF lithography. Previously we have studied the optimization of alt. PSM in 180nm gate-pitch. In this study, we evaluated various alt. PSM in the case of 160nm gate-pitch. Using a rigorous electro-magnetic field simulation of light scattering in 3D mask topographies, we evaluated CD difference between π-phase and 0-phase space size (the π-0 CD difference), resist CD through pitch and normalized image log-slope (NILS). The parameters for our simulation were mask structure (shallow trench depth (ST), undercut size (UC), space bias, Chrome (Cr) CD, pitch, phase shift depth) and ArF exposure condition (NA, sigma, defocus). From the results of simulation, it turned out that single trench structures with UC and/or space bias showed the good intensity balance through defocus. We compared the simulation results with the AIMS fab193 (Carl Zeiss) results and found there was no large difference. The combination of UC and space bias could be chosen as suitable structure for 160nm gate-pitch.
To extend 193nm lithography to 65nm node devices, alternating phase shift mask structure were optimized. Both single trench and dual trench structure was evaluated. The optimization was performed by rigorous electro-magnetic field simulation of light scattering in 3D mask topographies. Evaluation masks were fabricated according to the simulation results, and the mask image was evaluated by using AIMS fab193 (Carl Zeiss). Prior to the optimization, limitation of shallow trench depth and undercut size was considered from the standpoint of “mask making”. Maximum undercut size was defined in order to prevent the Cr pattern peeling in cleaning process. In the optimized structure, CD difference between adjacent patterns with 0-space and π-space is within ±10nm wiht 300nm focus margin for different pattern pitches.
An alternative phase shift mask (alt-PSM) is a promising device for extending optical lithography to finer design rules. There have been few reports, however, on the mask's ability to identify phase defects. We report here an alt-PSM of a single-trench type with undercut for ArF exposure, with programmed phase defects used to evaluate defect printability by measuring aerial images with a Zeiss MSM193 measuring system. The experimental results are simulated using the TEMPEST program. First, a critical comparison of the simulation and the experiment is conducted. The actual measured topographies of quartz defects are used in the simulation. Moreover, a general simulation study on defect printability using an alt-PSM for ArF exposure is conducted. The defect dimensions, which produce critical CD errors, are determined by simulation that takes into account the full 3-dimensional structure of phase defects as well as a simplified structure. The critical dimensions of an isolated bump defect identified by the alt-PSM of a single-trench type with undercut for ArF exposure are 300 nm in bottom dimension and 74 degrees in height (phase) for the real shape, where the depth of wet-etching is 100 nm and the CD error limit is +/- 5 percent.
An alternative phase shift mask (alt-PSM) is a promising device for extending optical lithography to finer design rules. There have been few reports, however, on the mask's ability to identify phase defects. We report here an alt-PSM of a dual-trench type for KrF exposure, with programmed quartz defects used to evaluate defect printability by measuring aerial images with a Zeiss MSM100 measuring system. The experimental results are simulated using the TEMPEST program. First, a critical comparison of the simulation and the experiment is conducted. The actual measured topography of quartz defects are used in the simulation. Moreover, a general simulation study on defect printability using an alt-PSM for ArF exposure is conducted. The defect dimensions, which produce critical CD errors are determined by simulation that takes into account the full 3-dimensional structure of phase defects as well as a simplified structure. The critical dimensions of an isolated defect identified by the alt-PSM of a single-trench type for ArF exposure are 240 nm in bottom diameter and 50 degrees in height (phase) for the cylindrical shape and 240 nm in bottom diameter and 90 degrees in height (phase) for the rotating trapezoidal shape, where the CD error limit is +/- 5%.
An alternating phase shift mask technique is one of the candidates to extend the KrF excimer laser lithography generation. One of the issues for practical fabrication of Alt-PSMs is a repair of quartz bump defects. A conventional focused-ion beam (FIB) repair technique has been investigated for quartz bump defect etching using (beta) -gas which has been introduced as a gas assist etching gas for MoSi based att-PSMs. We have prepared dual trench type alt- PSMs, which have programmed defects with various heights and sizes. Inspection and printability results are presented using these programmed defects masks. First, visibility of defects on a repair system is confirmed because the FIB repair system uses secondary Si ion for pattern imaging. Secondly, we have optimized parameters for quartz etching rate control with evaluation for etching depth accuracy and depth of riverbed. Thirdly, transmittance and printability of repaired point are confirmed with AIMS and wafer exposure experiments. This paper discusses feasibility of FIB repair of alt-PSMs in terms of limitation of small quartz bump defect visibility, quartz etching rate repeatability and printability of repaired points.
KEYWORDS: Etching, Quartz, Photomasks, Reactive ion etching, Photoresist processing, Chromium, Lithography, Phase shifts, Electron beam lithography, Process control
We have optimized a fabrication process of an alternating phase shift mask (alt-PSM) with dual trench structure. In quartz etching process using a RIE system, one of the key issues is to obtain a precise controllability of phase mean to target. In order to improve a phase controllability, re- etching process using an etching depth monitoring method with atomic force microscopy is applied in actual fabrication process. With regard to phase uniformity and resist selectivity for quartz, etching conditions such as RF power, pressure, and gas concentration are optimized by orthogonal design method. To improve a sidewall profile of quartz, the effect of inert gas is also examined. Under the practical etching process, phase man to target within +/- 5 degree(s) is obtained. Phase uniformity is improved within 1.0 degree(s). Sidewall profile is improve within 87 degree(s). Optical intensity balance between shallow and deep trench apertures is obtained completely equal from AIMS evaluation result.
An alternating phase shift mask (Alt-PSM) technique is one of the candidates to extend the KrF excimer laser lithography generation. One of the issues for practical fabrication of Alt-PSMs is a repair of quartz bump defects. A conventional focused-ion beam (FIB) repair technique has been investigated for quartz bump defect etching using (beta) -gas which has been introduced as a gas assist etching (GAE) gas for MoSi based att-PSMs. Tow kinds of software developed by Seiko Instruments are newly introduced to etch precisely quartz bump defects. We have prepared dual trench type alt-PSMs, which have programmed defects with various heights and sizes. It is confirmed that the FIB repair tool can image a quartz defect of 50nm height and 0.1micrometers size. We have optimized the parameters for quartz etching rate for each defect type. Transmittance and printability of repaired points are confirmed with AIMS. This paper describes the feasibility of FIB repair of alt-PSMs in terms of quartz etching rate repeatabiliity, and printability of repaired points.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.