Open Access Paper
3 April 2019 Front Matter: Volume 10957
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10957, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Extreme Ultraviolet (EUV) Lithography X, edited by Kenneth A. Goldberg, Proceedings of SPIE Vol. 10957 (SPIE, Bellingham, WA, 2019) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510625617

ISBN: 9781510625624 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2019, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/19/$18.00.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00006_PSISDG10957_1095701_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tamotsu, 1S

Akiteru, Ko, 1J

Allezy, Arnaud, 08

Anderson, Christopher, 08, 1X

Arps, J., 1G

Babalik, A., 1L

Babuschkin, A., 1L

Bahrenberg, Lukas, 1K

Banine, Vadim, 0U

Barboutis, A., 1L

Barouch, Eytan, 1D

Bekaert, Joost, 0H

Bender, Markus, 0K

Benk, Markus, 0V

Biafore, John J., 0J

Biermanns-Föth, A., 1G

Biesemans, Serge, 1O

Bilski, Bartosz, 09

Blanc, Romuald, 0T

Blanco, Victor, 0S

Blankenship, David, 0J

Bonam, Ravi, 0L

Bottiglieri, Gerardo, 09, 0Z

Brose, Sascha, 1K

Buchholz, C., 1L

Burkhardt, Martin, 10

Cantrell, G. R., 0K

Carcasi, Michael, 1O

Castellanos, Sonia, 0B, 0D

Cerbu, Dorin, 0H

Cerio, Frank, 0O

Chao, Weilun, 08, 0V, 1X

Charley, Anne-Laure, 0T

Choi, Yohan, 0L

Church, Jennifer, 10

Cloin, Christian, 0U

Cork, Carl, 08, 1X

Cork, Will, 08

Corliss, Daniel, 0L

Crotti, Davide, 0R

Danylyuk, Serhiy, 1K

De Bisschop, Peter, 0E, 0I, 1O

Dejkameh, Atoosa, 0W

Delano, Rene, 08

Demand, Marc, 1P

DePonte, Jason, 08

de Poortere, Etienne, 0S

de Roest, David, 0S

de Rooij-Lohmann, Veronique, 13

De Silva, Anuja, 0F, 10

De Simone, Danilo, 0I, 0R, 0S, 0T, 1O

Devasahayam, Adrian J., 0O

Dickinson, Michael, 08, 1X

Dinh, Cong Que, 1O

Dunn, Derren, 0L

Ekinci, Yasin, 0B, 0D, 0W

Enomoto, Masashi, 1P

Ercken, Monique, 0R

Erdmann, Andreas, 0Z

Evanschitzky, Peter, 0Z

Fallica, Roberto, 0T

Felix, Nelson M., 0F, 0L, 10, 1J

Fernandez, Sara, 0W

Finken, Michael, 0K

Fischer, A., 1L

Fliervoet, Timon, 09, 0Z

Foubert, Philippe, 1O, 1P

Frommhold, Andreas, 0H, 0N

Fühner, Tim, 0N

Fukuda, Hiroshi, 0G

Gaines, Geoff, 08, 1X

Gallagher, Emily, 0N

Gamsby, Jeff, 08, 1X

Goldberg, Kenneth, 0V

Goldfarb, Dario L., 0F

Graeupner, Paul, 09

Graves, Trey, 0J

Green, Michael, 0L

Gullikson, Eric, 08

Halder, Sandip, 0R

Ham, Young, 0L

Hara, Arisa, 0H

Hayashi, Hideyuki, 1S

Helfenstein, Patrick, 0W

Hendrickx, Eric, 0E, 0H, 0S

Hetzer, Dave, 1J

Hody, Hubert, 0R

Hori, Tsukasa, 1S

Hsu, Stephen, 09

Hubbard, Alex, 1J

Huli, Lior, 1J

Ide, Hiroyuki, 1O

Iida, Susumu, 1C

Ip, Vincent, 0O

Jaroslawzew, S., 1L

Jayaram, Srividya, 12

Jiang, Fan, 12

Jones, Gideon, 08, 1X

Juschkin, Larissa, 1K

Kai, Akiko, 1J

Kamberian, Henry, 0L

Kamei, Yuya, 1O, 1P

Kamo, Takashi, 0M, 1C

Kandel, Yudhishthir, 0N

Kasprowicz, Bryan, 0L

Kawakami, Shinichiro, 1J, 1P

Kazazis, Dimitrios, 0W

Kim, Sang-Kon, 1N

Kissoon, Nicola, 0S

Kodama, Takeshi, 1S

Kohli, Sandeep, 0O

Kondo, Yoshihiro, 1O

Kriese, Michael, 1I

Laubis, C., 1L

Lazzarino, Frederic, 0S, 0T

Lebert, Rainer, 1G, 1K

Lee, Junghyung, 0T

Lee, Kilyoung, 0T

Lee, Meng H., 0O

Lee, Patrick, 0J

Lehnert, J., 1L

Lemley, Corey, 1J

Lercel, Michael, 0U

Li, Yang, 1I

Lim, Chang-Moon, 0T

Locans, Uldis, 0W

Loosen, Peter, 1K

Lorusso, Gian, 0T

Magoshi, Shunko, 1C

Mao, Ming, 0S

Maslow, Mark John, 0H

Mc Manus, Moyra, 0S

McNamara, John, 09

Meli, Luciana, 0F, 0L, 10, 1J

Meliorisz, Balint, 1O

Melvin, Lawrence S., III, 0N

Mentzel, H., 1L

Meyers, Stephen, 08

Minekawa, Yukie, 1O

Missalla, T., 1G

Miyakawa, Ryan, 08, 0V, 1X

Miyao, Kenichi, 1S

Miyata, Yuichiro, 1J

Mizoguchi, Hakaru, 1S

Mochi, Iacopo, 0W

Morikawa, Yasutaka, 0M, 1C

Moriya, Teruhiko, 1O

Muramatsu, Makoto, 1P

Murray, Cody, 1J

Nafus, Kathleen, 1O, 1P

Nagahara, Seiji, 1O

Nagai, Shinji, 1S

Nakarai, Hiroaki, 1S

Naulleau, Patrick, 08, 0V, 15, 1X

Nebling, Ricarda, 0W

Neureuther, Andrew, 15

Nikipelov, Andrey, 0U

Oh, Sharon, 1X

Oikawa, Noriaki, 0H

Okamoto, Takeshi, 1S

Pak, Murat, 0R

Park, Chan-Ha, 0T

Park, Sarohan, 0T

Pathak, Abhinav, 0H, 0S

Petersen, John S., 0I, 1O

Petrillo, Karen, 1J

Phiesel, C., 1G

Piel, C., 1G

Piumi, Daniele, 0S

Platonov, Yuriy, 1I

Pollentier, Ivan, 0I

Progler, Chris, 0L

Proske, Stefan, 0K

Puls, J., 1L

Rajeev, Rajendran, 0W

Ramadan, Mohamed, 0L

Rassoul, Nouredine, 0T

Rekawa, Seno, 08, 1X

Rincon Delgadillo, Paulina, 0S

Rispens, Gijsbert, 0H, 0S

Robertson, Stewart A., 0J

Robinson, Chris, 10

Roesch, Matthias, 09

Ronse, Kurt, 0T

Rook, Katrina, 0O

Saito, Takashi, 1S

Salmassi, Farhad, 08

Sankar Kar, Gouri, 0R

Sano, Yohei, 1P

Sasaki, Akira, 1Q

Schiffelers, Guido, 0H, 0S

Scholze, F., 1L

Schönstedt, A., 1L

Sherwin, Stuart, 15

Shibata, Naoki, 1J

Shimada, Ryo, 1O

Shiozawa, Takahiro, 1J

Shiraishi, Gousuke, 1O

Shiraishi, Yutaka, 1S

Shusuke, Yoshitake, 0N

Sidorkin, Vadim, 0K

Sintschuk, M., 1L

Slachter, Bram, 0H

Smeets, Christophe, 0U

Sonoda, Akihiro, 1J, 1P

Souriau, Laurent, 0R

Srinivasan, Narasimhan, 0O

Stadelhoff, C., 1L

Steele, Jeffery, 1I

Stock, Hans-Jürgen, 1O

Stollenwerk, Jochen, 1K

Storm, Arnold, 13

Stortelder, Jetske, 13

Sun, Yuyang, 12

Tadokoro, Masahide, 1P

Tagbo, C., 1L

Takeshita, Kazuhiro, 1O

Tamaddon, Amir-Hossein, 0T

Tanaka, Satoshi, 1C

Thakur, Neha, 0D

Tomczak, Yoann, 0S

Tomono, Masaru, 1O

Tritchkov, Alexander, 12

Troost, Kars, 09

Tseng, Li-Ting, 0W

Uchiyama, Takayuki, 1C

Ueda, Atsushi, 1S

Ueda, Kenichi, 1J

Vaglio Pret, Alessandro, 0J

van de Kerkhof, Mark, 0U

Van de Kerkhove, Jeroen, 0T

Vandenberghe, Geert, 0I, 0S, 0T, 1O

Vandenbroeck, Nadia, 0T

van de Wetering, Ferdi, 0U

Vanelderen, Pieter, 0R, 0S, 0T

van Empel, Tjarko, 0U

Van Look, Lieve, 0T

Van Roey, Frieda, 0T

van Schaik, Willem, 13

van Schoot, Jan, 09

van Setten, Eelco, 09, 0Z

Vockenhuber, Michaela, 0B, 0D

Vollmer, Brandon, 08

Wada, Toshiharu, 1J

Wahlisch, Felix, 0H

Waller, Laura, 15

Watanabe, Yukio, 1S

Wei, Alex, 12

Welling, Ulrich, 0N

Word, James, 12

Wu, Chien-Ching, 13

Wu, Lianjia, 0B

Yaegashi, Hidetami, 0H

Yakunin, Andrei, 0U

Yamane, Takeshi, 0M, 1C

Yamauchi, Takashi, 1P

Yanagida, Tatsuya, 1S

Yano, Hidetsugu, 1J

Yasin, Farrukh, 0R

Yeung, Michael, 1D

Yoon, So-Won, 1N

Yoshida, Keisuke, 0H

Yoshida, Yuichi, 1O

Yoshihara, Kosuke, 1O

Zehm, Daniel, 08

Zekry, Joseph, 09

Zhang, Xima, 12

Zhu, Wenhua, 08, 1X

Zimmermann, Joerg, 09

Zimmermann, Stephan, 0K

Conference Committee

Symposium Chair

  • Will Conley, Cymer, an ASML Company (United States)

Symposium Co-chair

  • Kafai Lai, IBM Thomas J. Watson Research Center (United States)

Conference Chair

  • Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

Conference Co-chair

  • Nelson M. Felix, IBM Corporation (United States)

Conference Program Committee

  • Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

  • Robert L. Brainard, SUNY CNSE/SUNYIT (United States)

  • Martin Burkhardt, IBM Thomas J. Watson Research Center (United States)

  • Sonia Castellanos Ortega, Advanced Research Center for Nanolithography (Netherlands)

  • Xuemei Chen, KLA Corporation (United States)

  • Deniz Elizabeth Civay, GLOBALFOUNDRIES Inc. (United States)

  • Daniel Corliss, IBM Corporation (United States)

  • Yasin Ekinci, Paul Scherrer Institut (Switzerland)

  • Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

  • Allen H. Gabor, IBM Corporation (United States)

  • Emily E. Gallagher, IMEC (Belgium)

  • Florian Gstrein, Intel Corporation (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Eric Hendrickx, IMEC (Belgium)

  • Soichi Inoue, Toshiba Corporation (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Insung Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Seong-Sue Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Toshio Konishi, Toppan Printing Company, Ltd. (Japan)

  • Ted Liang, Intel Corporation (United States)

  • Chang-Moon Lim, SK Hynix, Inc. (Korea, Republic of)

  • Anna Lio, Intel Corporation (United States)

  • Luciana Meli, IBM Corporation (United States)

  • Lawrence S. Melvin III, Synopsys, Inc. (United States)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Christopher S. Ngai, Applied Materials, Inc. (United States)

  • Shinji Okazaki, ALITECS Company, Ltd. (Japan)

  • Eric M. Panning, Intel Corporation (United States)

  • Moshe E. Preil, KLA Corporation (United States)

  • Kurt G. Ronse, IMEC (Belgium)

  • Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

  • Thomas I. Wallow, ASML Brion (United States)

  • Obert R. Wood II, GLOBALFOUNDRIES Inc. (United States)

Session Chairs

  • 1 Keynote Session

    Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

    Nelson M. Felix, IBM Corporation (United States)

  • 2 The Future is High NA

    Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

    Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

  • 3 Inorganic Resists: Joint session with conferences 10960 and 10957

    Robert L. Brainard, SUNY CNSE/SUNYIT (United States)

    Jason K. Stowers, Inpria Corporation (United States)

  • 4 Stochastics and Exposure Mechanisms: Joint session with conferences 10960 and 10957

    Florian Gstrein, Intel Corporation (United States)

    Thomas I. Wallow, ASML Brion (United States)

  • 5 Order from Chaos: Stochastic Modeling

    Sonia Castellanos Ortega, Advanced Research Center for Nanolithography (Netherlands)

    Shinji Okazaki, ALITECS Company, Ltd. (Japan)

  • 6 EUV Mask Fidelity

    Martin Burkhardt, IBM Thomas J. Watson Research Center (United States)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • 7 Printing at the Edge: EUV Patterning Applications

    Christopher S. Ngai, Applied Materials, Inc. (United States)

    Luciana Meli, IBM Corporation (United States)

  • 8 EUV Patterning and Etch: Joint session with conferences 10957 and 10963

    Rich S. Wise, Lam Research Corporation (United States)

    Anna Lio, Intel Corporation (United States)

  • 9 EUV Masks, Defects, and Pellicles

    Emily E. Gallagher, IMEC (Belgium)

    Moshe E. Preil, KLA-Tencor Corporation (United States)

  • 10 EUV Imaging Enhancement I

    Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

    Eric Hendrickx, IMEC (Belgium)

  • 11 EUV Imaging Enhancement II

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Kurt G. Ronse, IMEC (Belgium)

  • 12 Progress in EUV Sources

    Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

    Anna Lio, Intel Corporation (United States)

  • 13 EUV OPC and Modeling: Joint session with conferences 10957 and 10962

    Kevin Lucas, Synopsys, Inc. (United States)

    Soichi Inoue, Toshiba Corporation (Japan)

© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10957", Proc. SPIE 10957, Extreme Ultraviolet (EUV) Lithography X, 1095701 (3 April 2019); https://doi.org/10.1117/12.2533503
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Stochastic processes

3D image enhancement

Imaging systems

Optical lithography

Printing

Back to Top