Paper
23 August 2021 Nanoimprint performance improvements for high volume semiconductor device manufacturing
Ryo Tanaka, Mitsuru Hiura, Yukio Takabahashi, Atsushi Kimura, Hiroshi Morohoshi, Yoshio Suzaki, Takahiro Matsumoto, Nilabh Roy, Anshuman Cherala, Jin Choi
Author Affiliations +
Abstract
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. DRAM memory is challenging, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL and attractive solution. The purpose of this paper is to review the performance improvements related to overlay and introduce edge placement error analysis for NIL. Improvements in overlay include an extension in the range for high order distortion correction and improvements in control methods such as imprint force, mask to wafer tip/tilt and wafer zone pneumatics near the wafer edge. We also introduce the pattern transfer scheme used to etch features with half pitches below 20nm.
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ryo Tanaka, Mitsuru Hiura, Yukio Takabahashi, Atsushi Kimura, Hiroshi Morohoshi, Yoshio Suzaki, Takahiro Matsumoto, Nilabh Roy, Anshuman Cherala, and Jin Choi "Nanoimprint performance improvements for high volume semiconductor device manufacturing", Proc. SPIE 11908, Photomask Japan 2021: XXVII Symposium on Photomask and Next-Generation Lithography Mask Technology, 119080F (23 August 2021); https://doi.org/10.1117/12.2599713
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
Back to Top