Open Access
22 December 2021 Perspectives of reactive ion etching of silicate glasses for optical microsystems
Christoph Weigel, Ulrike Brokmann, Meike Hofmann, Arne Behrens, Edda Rädlein, Martin Hoffmann, Steffen Strehle, Stefan Sinzinger
Author Affiliations +
Abstract

We provide a review of the latest research findings as well as the future potential of plasma-based etching technology for the fabrication of micro-optical components and systems. Reactive ion etching (RIE) in combination with lithographic patterning is a well-established technology in the field of micro- and nanofabrication. Nevertheless, practical implementation, especially for plasma-based patterning of complex optical materials such as alumino-silicate glasses or glass-ceramics, is still largely based on technological experience rather than established models. Such models require an in-depth understanding of the underlying chemical and physical processes within the plasma and at the glass–plasma/mask–plasma interfaces. We therefore present results that should pave the way for a better understanding of processes and thus for the extension of RIE processes toward innovative three-dimensional (3D) patterning as well as for the processing of chemically and structurally inhomogeneous silicate-based substrates. To this end, we present and discuss the results of a variety of microstructuring strategies for different application areas with a focus on micro-optics. We consider the requirements for refractive and diffractive micro-optical systems and highlight potentials for 3D dry chemical etching by selective tailoring of the material structure. The results thus provide first steps toward a knowledge-based approach to RIE processing of universal dielectric glass materials for optical microsystems, which also has a significant impact on other microscale applications.

1.

Introduction

Micro-optical elements and complex optical microsystems require ultraprecise manufacturing processes. The maximum tolerable roughness is defined by fractions of the used wavelength λ, e.g., λ100 or better, whereas the overall size and shape of the elements can easily reach the millimeter or centimeter range. Next to the industrially established ion beam polishing approaches for optical surfaces with ultra low surface roughness, reactive ion etching (RIE) has been demonstrated for the efficient realization of highly precise microstructured optical surfaces for beam-shaping1,2 and beam-splitting,3 as well as for imaging4 and illumination5,6 applications. During RIE, material transport is achieved by a combination of physical and chemical (reactive) interaction of ions and reactive gases with the surface in a plasma reactor. The chemical fraction of the process is naturally highly dependent on the substrate/precursor gas composition. Thus, the process has been developed for the semiconductor industry and is well-established and successfully applied for microstructuring of pure materials (e.g., semiconducting alloys such as GaAs, GaN, and others or Si)7 as well as pure fused silica (FS) substrates for optical purposes.8 Also polymers can be etched in a reactive plasma based on oxygen and in combination with other gases (if required).9 Significant advantages are provided as plasma etching does not necessarily require high process temperatures, while the energy of the individual etching species can be very high. This decoupling of temperature and energy is a significant advantage of plasma enhanced etching processes against purely chemical etching in which the activation energy is applied by temperature only. On the other hand, numerous effects influence the local material removal rate, so defined etching profiles require a detailed knowledge of the etching process.

Silicates are inorganic nonmetallic materials with a common basic unit, SiO2, and a large variety of structures built by different ways of connecting SiO4 tetrahedra via corners, edges, or faces. Therefore, silicates are available and utilized in the form of crystalline minerals, ceramics, glass ceramics, and glasses. Crystalline minerals are the raw materials for the production of the other forms. The versatility of structures from neso- to ino- to phyllo to tectosilicates [connected via secondary bonds, in covalent chains, layers, or three-dimensional (3D) frameworks] with and without long-range order and the variety of other elements that can be hosted as part of the connected structure or in the free volume is essential for their huge technical relevance. The main difference from organic polymers is the high thermal and chemical stability. In contrast to metals, silicates are isolators. In general, no free electrons occur in the untreated initial state. Mixed covalent and ionic bonds result in large bandgaps, in conductivity increasing with temperature, and in high optical transmission within a large window of wavelengths. The hardness of silicates is higher than that of organic polymers and metals in many cases. They are brittle with subcritical crack growth accompanied by a strong scattering of the practical strength. A high melting point above 1000°C is typical for crystalline compounds. Transformation temperatures for vitreous silicates are usually above 400°C. In vitreous silicates, nucleation and crystal growth can be controlled to produce glass ceramics with fine-tuned combinations of properties of glass matrix and crystal phases that would not be feasible with homogeneous materials. Figure 1 shows an overview regarding the range of adjustable properties of silicates in context with optical applications based on selected examples.

Fig. 1

Overview of silicate material types, properties, application fields, and their potential for optical applications.

JOM_1_4_040901_f001.png

In this paper, we present results that pave the way for the extension of RIE processes for innovative 3D patterning as well as for the processing of chemically and structurally inhomogeneous silicate-based substrates. This is of specific interest for optical applications since the optical and thermal properties of the microstructured substrate are controlled by the chemical material composition and have a significant impact on the overall elements or systems performance. To tune the functionality of the resulting optical microsystems, it is necessary to gain control over the complete hierarchy of 3D feature sizes, from the element shape at a mm to 100-μm scale to microstructures at the scale of the wavelength to nanostructures in the subwavelength range to surface roughnesses in the lower nm range and below. With respect to optical properties such as the intrinsic refractive indices, the chemical material composition (in the bulk material as well as at the surface) gains considerable significance (see Table 1). For precise microstructuring of optical glasses in general, e.g. it is crucial to understand the details of the chemical reactions and products generated during the RIE process.

Table 1

Optical properties of different optical materials used in the following sections.

MaterialRefractive index ndAbbe number vdReference
SiO2 (thermal)1.46467.2acf.10
Quartz crystal (uniaxial)1.54469.7acf.11
FS (synthetic)1.45867.912
Silicon oxynitride1.47 to 2.3n. a.13
1.45 to 2.0514
ULE glass1.48353.115
Zerodur1.54256.1acf.16
Foturan II (glass state)1.51264.0acf.17
Foturan II (crystallized)b1.51364.1acf.17

aComputed based on the given refractive indices.

bCrystallized at 560°C (lithium metasilicate glass ceramic).

The challenges related to the fabrication of micro-optical elements and optical microsystems are illustrated by the example of multilevel diffractive optical elements (DOEs) in Sec. 2. In Sec. 3, we introduce the fundamental RIE process providing the required control for the generation of unique micro-optical structures. High aspect ratio microstructures in FS and deep 2.5D optical microstructures for micro-optical systems integration are presented in Sec. 4. The (deep) etching and microstructuring of complex silicate-based materials enables the further expansion of the accessible element functionality. Microstructures with optical quality can be fabricated, e.g., in ultra low expansion glasses, using a tailored plasma etching process introduced in Sec. 5. An enhancement toward 3D micro-optical systems can be achieved by gradient index (GRIN) materials. The deposition of multilayer stacks with variable refractive index and the following tailored deep plasma structuring enables 3D in-plane micro-optics such as lenses for beam shaping as demonstrated in Sec. 5.2. In Sec. 5.3, the influence of the material structure on the etching behavior is investigated. The different levels durability of the material phases in the plasma process allows for the simultaneous generation of surface shapes with strongly varying optical properties. Finally, the combination of sophisticated etching processes in silicon with oxidation steps for the generation of 3D microresonators is presented in Sec. 6. High Q-factors of the resulting resonators with ultrasmooth sidewalls are possible due to the annealing effect during the oxidation of the 3D resonator profiles. The basic manufacturing processes from the selection of the functional material to the micro-optical functional structure are shown in Fig. 2.

Fig. 2

Overview of the process flow for the generation of 2D and 3D micro-optical structures considering various functional materials.

JOM_1_4_040901_f002.png

2.

Micro-Optics and Multilevel Diffractive Optical Elements

Optical microsystems are composed of surfaces and elements modulating an incoming light field in amplitude and phase. Depending on the surface profiles and the minimum feature sizes present in the elements, the effects of refraction or diffraction become dominant and determine design approaches and fabrication concepts.18,19 The accurate transfer of steep analog profiles by etching needed for the fabrication of especially aspheric refractive microlenses is challenging and requires precise control of the etching performance.2022 Alternatively, DOEs may be used to approximate the refractive surface by exploiting the periodic nature of light and quantizing the desired phase profile in steps of 2π. The continuous phase values can be further reduced to an integer number of discrete phase steps that are normally distributed uniformly.2326 These quantized phase profiles may be used to illustrate the requirements of optical microstructures and their fabrication technologies.

Figure 3(a) shows the phase profile of a spherical (micro-)lens and the quantized approximations with four and two phase levels. While DOEs are light in weight and compact while allowing for almost arbitrary phase functions, the main drawback is their limited efficiency as the light is diffracted into several orders. Theoretically, a binary phase element, such as a simple diffraction grating or Fresnel zone plate, can have a maximum efficiency of only 40% in the first order if the thin element approximation can be assumed. To achieve higher efficiencies, multilevel versions of DOEs are necessary, e.g., with 4, 8, or 16 phase levels.

Fig. 3

(a) 2π phase quantization of the profile of a lens and examples of fabricated multilevel DOEs for beam shaping analyzed by (b) SEM, (c) light microscopy, and (d)–(f) white light interference microscopy.

JOM_1_4_040901_f003.png

The precise control of the etch rates as well as the quasi perfect perpendicular sidewalls enable the fabrication of such multilevel DOEs through a series of etching steps. The number of etched steps per 2π and the optical path difference corresponding to the introduced phase retardation between the etched and unetched levels determine the diffraction efficiency ηm (i.e., the optical performance) of the element.27 A fabrication process with N masks potentially produces 2N phase levels.

Despite these conceptually straight forward relations between the individual features in the DOE and its performance, it is surprisingly easy to run into significant challenges when fabricating DOEs. In real fabricated structures, there is always some degree of deviation from the ideal shape resulting from deviation in the height of the phase steps, nonvertical sidewalls, rounding of corners, increased surface roughness, and mask alignment errors,5,18,21,28 to name a few. These errors directly influence the power distribution between the various diffraction orders generated by the DOE. In any optical application, the quantitative measure for the required precision is related to the wavelength λ. Thus, as a rule of thumb, any surface (or sidewall) of the microstructured optical element needs to be fabricated with a precision of better than λ/10, i.e., with deviations of less than a few tens of nanometers. Depending on the number of phase steps, these requirements for the DOE implementation may even be significantly higher. For analog refractive micro-optical elements, the shape of the profile decides the elements performance. In this context, the stability of the etching process during etching periods of potentially several hours is absolutely crucial.

The overall effect of fabrication deviations strongly depends on the specific DOE design. Beam fanout elements suffer from changes in the locations of phase transitions leading to nonuniformity in the intensity distribution. Dammann grating-based beam fanouts show a strong dependence on transition point errors. A differing etch depth results mainly in a zero-order change, while the other orders remain uniform.18,28,29 Surface roughness leads to increased background light. In general, the larger the number of phase steps is, the bigger the fabrication challenges are due to the necessary etching precision and lithographic resolution. Consequently, the fabrication of quasicontinuous phase profiles, e.g., through gray scale lithography, poses the most extreme challenges to the fabrication processes. Additional requirements arise for efficiently achromatized or polarization-sensitive diffractive3034 elements as well as for integrated 3D optical microsystems. They often require the fabrication of extremely high aspect ratios and possibly the processing of more complex optical glasses with adapted refractive indices.35 These requirements on the optical microstructures define the challenges on the etching technology applied during the fabrication process. The plasma-based RIE process potentially provides the necessary control over the etching profile in a wide range of materials relevant for optical applications.

3.

Reactive Ion Etching Process

RIE is cross-scale patterning method developed for microelectronic materials, such as silicon, silica, silicon nitride, and other semiconducting materials, which combine physical and chemical etching mechanisms. Whereas RIE etching with a large chemical contribution provides high etch rates, e.g., in silicon, the etch rate for SiO2, is very low (with fluorine radicals: 1/40th of silicon). Physical etching with ions (sputter etching, e.g., Ar) exhibits low selectivity against resists and other mask materials as well as a low etch rate. The combination of physical and chemical effects in the RIE process allows for a reasonable etch rate as well as a sufficient selectivity against mask materials through a combination of ion bombardment and chemical reactions adapted to the substrate material.36,37 The process is adaptable to a wide variety of materials and has thus become a standard technology in microelectronics and microsystems technology.

In a cold nonthermal plasma, inelastic collisions with high-energy electrons lead to excitation, dissociation, and ionization of gas molecules, resulting in a number of different chemically active radicals, inert as well as reactive ions and electrons. The plasma is typically based on an abnormal glow discharge with a distinct cathode fall in front of the substrate. For nonconductive glasses, RF-driven electrodes are mandatory to avoid a self-charging layer that would protect the surface from ion bombardment.

The ions provide additional kinetic energy for chemical surface reactions. Chemical etching takes place with reactive ions as well as radicals as long as the product exhibits a sufficiently high vapor pressure. Non-volatile by-products can stop the etching process. On the other hand, accelerated ions (inert or reactive) are able to knock out atoms from the surface (sputter etching), even non-volatile by-products.38,39

The evolution of an anisotropic profile (here almost vertical trenches in place of isotropic spherical grooves) is defined by directed energetic ions and inhibitor-induced anisotropy. In low-pressure plasmas, the directionality of ion bombardment causes a preferred removal at vertical incidence (bottom), whereas a sidewall polymer layer inhibits the lateral etching of the material.40 Thus, surfaces oriented perpendicular to the ion incidence usually show a higher etch rate than the sidewalls of the structures.41

The overall etching is defined by the amount of chemically active species in the plasma, their diffusion onto the substrate surface and the flux, the angular distribution, and the kinetic energy of the positive ions accelerated to the substrate surface.

The interaction between the plasma and the material is controlled by the process parameters. The selected gases influence the spectrum of the (reactive) neutrals, the (reactive) ions as well as a potential surface polymerization and the reaction products and thus their volatility. The amount of chemically reactive neutrals is influenced by the source power, the process pressure, and the gas flow that influence the residence time in the plasma. The ion density is determined by the source power and the kinetic energy by the bias (self-bias or bias power). The angular distribution is affected by the process pressure, which is a measure of the mean-free path length. Variation of the plasma–material interaction enables a wide range of etching profiles from almost isotropic etching to directed anisotropic etching.4244

Compared with chemically simple and homogeneous materials such as silicon, plasma etching of complex silicate glasses is significantly more challenging. Due to the high binding energy and the heterogeneous material character of glasses, effective etching demands sufficient activation energy by ion bombardment. The low thermal conductivity of glasses (about 100 times lower than for silicon) and the energy introduced by ion bombardment require sufficiently temperature-stable mask materials. In complex optical glasses, various reaction products are formed. Many of them are non-volatile and accumulate at the surface. In particular, (earth-)alkali metals result in halides with low vapor pressure. Their removal requires sputtering by ion bombardment. This reduces surface roughness but also keeps the etch rate high.4549 A schematic overview of the etching process in an inductively coupled plasma RIE (ICP-RIE) tool for etching complex glass compositions is shown in Fig. 4.

Fig. 4

Schematic representation of the RIE process for a glassy material with non-volatile by-products in an ICP-RIE etch chamber using polymerizing fluorocarbon gases.

JOM_1_4_040901_f004.png

Continuous plasma processes with fluorocarbon gases are often used for the etching of quartz, vitreous silica, and other glasses.35,5059 In this case, the sidewall is protected by an intrinsic passivation layer while the bottom is ablated simultaneously. At the bottom, ideally, a thin steady-state polymer is formed, which does not significantly reduce the ion energy but contributes to chemical reactions at the surface. During etching of dielectric materials, it is observed that charging effects influence the direction of the ions and thus cause etch stops in high aspect ratio features.7,50 The surface polymer can no longer be removed at a sufficient rate and inhibits the etching.60 SF6 is also used for glass etching,46,48,51,52,61,62 partly in combination with fluorocarbons or Ar.4749,6366 SF6 does not support the formation of polymer layers and thus enables a physical ion impact on the substrate material, e.g., for more efficient removal of non-volatile reaction products.42,49

Currently, ICP-RIE machines are mainly used for high etch rates and the generation of large etch depths. Compared with capacitively coupled plasma, the plasma density of inductively coupled plasma (ICP) is significantly higher, and the ion energy can be controlled by the bias power separately from the plasma generation. The possibility of generating the plasma at lower pressures facilitates the formation of volatile reaction products and improves the removal of non-volatile reaction products by directed ion bombardment.7,49,67 This allows for etch rates of about 1  μm/min56,61,62 with vertical sidewalls and high aspect ratios47,51,55,56 in FS and borosilicate glasses (BSGs) with fluorocarbon gases, SF6, or a mixture of both (Table 2).

Table 2

Selection of published results on deep etching of FS, BSG, and crystalline quartz.

ReferenceMaterialEtch toolProcess gasesMask materialEtch rate (nm/min)Aspect ratioSelectivityEtch depth (μm)Sidewall angle (°)
52FSICP-RIEC4F8/O2Al5202201083
52FSICP-RIESF6AlN320249n.a.88
51FSICP-RIEC4F8/He/O2Si6003.53.530086
51FSICP-RIEC4F8/O2Ni7002.5358078
55FSICP-RIEC3F8/O2Ni500101010089
58FSICP-RIEC4F8/ArAl487n.a.n.a.48.790
58FSICP-RIEC4F8/ArAl758n.a.n.a.75.882
61FSICP-RIESF6/NF3/H2ONi100010n.a.n.a.n.a.
63FSICP-RIESF6/CHF3Ni300102010088
54FSNLDC3F8/CHF3/ArResist420n.a.n.a.3589
64FSRIECF4/CHF3/ArResist55.4n.a.1.132085
64FSRIESF6/CHF3Resist93.3n.a.0.262085
56PyrexICP-RIEC4F8/O2Ni1000201820088
47PyrexICP-RIESF6Ni600n.a.2020088
62PyrexICP-RIESF6Cr1200n.a.24n.a.n.a.
62PyrexICP-RIESF6/ArCr1000n.a.34n.a.n.a.
61BSGICP-RIESF6/NF3/H2ONi720102710387
57BSGNLDC3F8/ArNi35087010084
56QuartzICP-RIEC4F8/O2Ni735n.a.10012.585
68QuartzμW-RIECF4/ArNi100n.a.n.a.n.a.n.a.
69QuartzDRIEC4F8/O2Resist450n.a.1.253075
70QuartzRIECHF3/CF4Resist68n.a.n.a.n.a.n.a.

Classical masking layers made of organic resists result in low selectivities (relative etch rates) when structuring glasses and are therefore interesting for lower etch depths and low thermomechanical stresses.46,54,57,71 For deep etching with high etch rates, on the other hand, thin-film hard masks (e.g., AlN, Al, Cr) or electrodeposited Ni layers47,51,57,63 are used.52,58,62 They provide high selectivity because they are stable chemically as well as against high temperatures and ion bombardment. Optimized mask materials and properties are also the focus of current research. For example, mask materials such as ruthenium provide selectivities of over 300 in fluorine plasma when patterning thermal SiO2 thin films.72 A targeted discharge of conductive metal masks also shows an influence on the patterning process in current studies.61

4.

Deep RIE for Integrated Optical Microsystems

For free-space micro-optical systems, the alignment of individual components is a challenging task and usually accounts for a significant portion of the total manufacturing effort. Monolithic integration concepts can help to overcome this issue; however especially for in-plane optical elements for the visible wavelength range, optical surfaces have to fulfill critical demands. Deep-etched vertical structure profiles with low surface roughness Ra of the sidewalls (below 10 nm in the visible range) are needed for high quality integrated optical modules.73 Their fabrication from bulk substrates through surface micromachining requires a highly controlled deep etching process with stable etching masks, high selectivity, and high-quality edges.

An exemplary process flow to fulfil the requirements is shown by Weigel et al.63 The etching was performed by ICP-RIE in a fluorine-based plasma at a pressure of 0.35 Pa using CHF3 and SF6. The best process conditions were found at a gas ratio of CHF3/SF6 with an overall gas flow of 30 sccm. Etching rates of up to 300  nm/min have been demonstrated. The selectivity between the utilized nickel mask and the FS substrate was found to be as large as 20. Thus, quasivertical sidewalls of about 100  μm in etch depth and a sidewall angle of 88° were achieved. By increasing the amount of CHF3/SF6 to a ratio of 1:1, the arithmetic mean roughness (Ra) is decreased to about 7.2 nm [Fig. 5(c)]. The etching face exhibits Ra values between 8 and 30 nm. Both values have been demonstrated to be suitable for decent micro-optical applications.

Fig. 5

(a) 100-μm deep etched micro-optical structure for lateral beam injection in FS, (b) applied sidewall layer of aluminum after the lift-off process as a reflective coating for deep etched refractive micro-optical systems, (c) measured sidewall depth profile using a white light interference microscope to calculate the arithmetic average sidewall roughness.

JOM_1_4_040901_f005.png

Mirrors can be realized using reflective coatings on the smooth sidewalls. To define these structures, a lift-off technique can be used. A negative resist (AZ nLof 2070) is spray-coated in a four-layer-process and structured by UV-lithography [Fig. 5(b)]. Suitable reflective coatings are based on aluminum that exhibits a strong adhesion on glasses.

The described process flow can be used for realizing free-space monolithic optical microsystems such as interferometer structures as shown in Fig. 5(a). This new kind of in-plane monolithic, free-space interferometer reached a resolution of 20 nm in the first demonstration experiments. The high achievable aspect ratios of 10:1 enable a spatially tight arrangement of multiple depth-etched structures with lithographic accuracy without further alignment effort. This is a significant advantage when multiple micro-optical structures need to be combined.

5.

Microstructuring of Special Silicate Substrates

The possibility of deep etching high-quality mirror surfaces with quasivertical sidewalls, presented in Sec. 4, represents an important step toward the fabrication of integrated optical microsystems. The use of optical glass with customizable properties can further enhance the optical performance. The potential to adapt RIE etching processes to the ultraprecise microstructuring of a large variety of silicate-based dielectric substrates marks a tremendous step that offers increased design flexibility for optical microsystems. Although up to date predominately FS substrates have been accessible for microstructuring, in the following, we demonstrate the increased design flexibility available with innovative new RIE processes for optimized multicomponent silicate materials, enabling a large variety of optical properties. This is also due to the high requirements of optical systems, such as low roughness, accurate step height at low resolution, and the generation of vertical sidewalls as previously discussed for diffractive and refractive structures. We show in Sec. 5.1 that an adapted RIE process allows for the implementation of depth-etched structures in optical quality even in multicomponent materials such as ultra low expansion (ULE) glass.

However, to achieve more general optical functionality, such as focusing or beam shaping, the implementation of real 3D shaping is required, e.g., to fabricate curved vertical optical surfaces. Since precisely controlled etching techniques for 3D shaping are still missing, the potential of light deflection in GRIN media can be exploited in the vertical coordinate. In this case, the challenge again is put on the etching techniques since processes and recipes for the etching of complex material compositions with high precision are needed.

5.1.

Microstructuring of Ultra Low Expansion Glasses

Materials with very low thermal expansion, such as ULE glass or Zerodur glass-ceramics, are particularly interesting for applications in which the geometric variables of a system must be temperature-independent, for example, for masks for blanks in EUV lithography, for substrates in projection optics, and in precision metrology.7479

Ultra low expansion glass-ceramics are complex in composition and structure (e.g. Zerodur), whereas ULE glass is a single-phase vitreous material consisting of SiO2 and TiO2.8082 As described earlier, material complexity has a strong effect on the patterning process. During the etching of glass ceramics and other complex glasses, a large amount of non-volatile reaction products is generated, which makes structuring more difficult in a fluorine-based plasma. In particular, the metal fluorides (e.g., AlF3, NaF2, and more) do not reach a sufficiently high vapor pressure at the surface conditions and thus accumulate on the substrate surface.4549 An overview of selected reaction products in fluorine plasma and their volatility classification at commonly used process conditions is shown in Fig. 6.

Fig. 6

Classification of possible reaction products for etching complex glasses into volatile, non-volatile, and transition reaction products depending on applied process pressure and surface temperature ranges. (Note that the surface temperature is much higher than the set wafer temperature due to exothermic chemical reactions and ion bombardment, adapted from Ref. 66.)

JOM_1_4_040901_f006.png

By contrast, and with the use of optimized process conditions, the etching of ULE glass generates only volatile reaction products. Thus, the special glass can be patterned comparable to FS with high etch rates, high selectivity, high verticality of the sidewalls, and low surface roughness. A direct comparison of etching results in FS, ULE glass, and Zerodur has been presented elsewhere66 and is summarized in Fig. 7.

Fig. 7

Comparative SEM images of depth-etched structures with different sizes (trench widths between 10 and 100  μm) in materials with low thermal expansion and determined process parameters for etch rate, selectivity, sidewall angle, and roughness of the etched bottom (adapted from Ref. 66).

JOM_1_4_040901_f007.png

This is the first detailed investigation and demonstration of micropatterning of low expansion materials using RIE. With the introduced processes, it is thus possible to close the gap between conventional pattering and microstructuring for the integration in micro-optical, -mechanical, and -fluidic systems. Applications such as released spiral springs have successfully been demonstrated in a glass ceramic with ultra low thermal expansion.65 Due to its higher refractive index (nd=1.4828) and lower Abbe number (vd=53.1) as compared with FS,12,15 ULE glass offers further design options for micro-optical applications (e.g., refractive functional structures such as dispersion prisms). The high aspect ratios in ULE glass allow for deep etching of multiple micro-optical elements tightly together comparable to the above-mentioned results in FS. The optimization of the sidewall roughness as an important parameter for integrated optical structures with lateral beam injection is the focus of the current work.

5.2.

Integrated 3D Microstructured GRIN Lenses

Planar lithographic fabrication techniques offer ultraprecise alignment for micro-optical applications, but in general 3D beam shaping is required for flexible optical systems design. This cannot be achieved with 2.5D (deep) structuring only and requires variable optical properties in the vertical dimension, which can be realized by GRIN systems. Hybrid GRIN lenses using different mechanisms for light deflection in vertical and horizontal directions are feasible with optimized RIE structuring and are suited, e.g., to wafer-level coupling of optical and optoelectronic components.83 To this end, a sequential approach for the well-defined deposition of thin layers with slightly varying material composition has been demonstrated and combined with the planar RIE approach. This planar stack of materials effectively forms a one-dimensional GRIN material in which the light propagation perpendicular to the substrate is determined through the refractive index variation.

3D optical functionality can thus be reached with 2.5D structuring methods. The variation of the refractive index during the layer deposition process is used to enable the control of the light propagation in the vertical direction, whereas deep 3D etching determines the optical functionality via the shape of the surface in the orientation of the substrate surface. In the vertical direction, a properly designed stepwise variation of the refractive index during the layer deposition produces GRIN elements leading to a focusing effect perpendicular to this direction. In the second direction, parallel to the substrate, the geometrical profile achieved by vertical etching of the optical layer stack determines a beam shaping property. The combination of these technological approaches leads to an optical element that can influence light propagation in directions both parallel and perpendicular to the substrate surface.

GRIN materials include optical glasses, plastics, germanium, zinc selenide, and sodium chloride. The techniques for the generation of different refractive indexes involve thereby neutron irradiation, polymerization, ion exchange, and CVD processes.84 The latter process can be seamlessly integrated into microtechnological fabrication, and various optical properties can be reached by varying the chemical composition of the silicon oxynitride layer.85,86

For the demonstration of this concept, the GRIN layer was fabricated as a stack of 115 layers of silicon oxynitride of varying material composition. We used plasma-enhanced chemical vapor deposition (PECVD) with different SiH4:N2:N2O gas ratios to produce layers with refractive indices between those of almost pure silica and almost pure silicon nitride. Thus, the refractive index could be varied from 1.47 to 1.85 in the stack with an overall thickness of 20  μm. The mircolenses were then etched perpendicular to the substrate by an optimized fluorine-based ICP-RIE. For the etching process, we reverted to the previously shown patterning process using a nickel hard mask in a SF6/CHF3 plasma. Figures 8(b) and 8(c) show that the sidewall angle and roughness could be controlled precisely despite the inhomogeneous substrate materials.

Fig. 8

(a) Principle of 3D beam shaping by a GRIN lens; (b) and (c) SEM pictures of fabricated GRIN lenses using PECVD deposition and subsequently plasma structuring on a silicon substrate.83

JOM_1_4_040901_f008.png

5.3.

Plasma-Supported Etching of Photosensitive Glasses

By considering photosensitive silicate materials, we present in this section an additional degree of freedom for the 3D design of micro-optical elements. The combination of photoinitiation with plasma-based etching techniques opens up new possibilities for the technological realization of 3D profiles for optical microsystems and extends the understanding of plasma-based etching processes.

5.3.1.

Photoform process for micro-optical components

Photosensitive lithium alumino silicate glasses doped with minute amounts of oxides of cerium, silver, antimony, and tin/zinc can be microstructured via a three-step photoform process. The original glasses themselves, e.g., Foturan or FS21, conceptually behave like a photoresist. Therefore, it is possible to apply the following standard processing sequence (see Fig. 9):

  • 1. masking of the glass substrate and exposure to UV light,

  • 2. thermal treatment for lithiummetasilicate (LMS) crystal growth initialization in the exposed areas (glass ceramic phase), and

  • 3. formation of geometrically defined microstructures by means of wet chemical etching using diluted hydrofluoric acid with a phase selective etch rate.

Fig. 9

2.5D photoform process by parallel UV light (above); simultaneous patterning process by wet and dry chemical etching processes (different etch selectivity between crystallized and vitreous material phases leads to the formation of a surface pattern depending on the used process).

JOM_1_4_040901_f009.png

Direct laser writing enables the local introduction of defined amounts of optical energy into the volume and, thus, the generation of buried 3D geometries, e.g., for optically transparent, fluidic systems8790 (see Fig. 10). Surface topography and surface energy enable control to produce smooth surfaces with optical quality for imaging systems.9195 Roughness can be adopted specifically for the attachment of living cells in tissue engineering.90 Other processing operations typical for glass, such as ion exchange or glass crystallization, enable the optimization of properties such as refractive index profile, spectral absorption, or mechanical strength. The applications are manifold, e.g., micromechanical and microfluidic functional elements can be functionalized with additional beam shaping and a filtering micro-optical component based on one material.96,97

Fig. 10

Concept for 3D shape generation in photosensitive glass through 3D exposure by focused UV laser beam and subsequent simultaneous patterning of both material phases.

JOM_1_4_040901_f010.png

5.3.2.

Fluorine-Based Plasma Etching Process Versus Wet Chemical Etching

In the described process, wet and dry chemical etching processes are suitable for etching either the glass or the crystalline phase, with significant differences in the achievable etch rate selectivity. The clarification of basic reactions providing selectivity is part of current research. So far, wet chemical etching has been the dominant shaping method in the photoform process. In general, the etching attack of hydrofluoric acid on a silicate network is described by the overall chemical reaction scheme:

SiO2(s)+6HF(l)2H2O(l)+H2SiF6(aq).

The underlying mechanism is not yet clearly understood, and several reaction steps are discussed in this context.98102 However, their detailed understanding is necessary for precise control of the variables influencing the etching process. Several investigations suggest that water species play an important role in the attack of silicon–oxygen (siloxane) bonds.100,103 According to Ernsberger,98 the incorporation of water species locally changes the degree of cross-linking of the silicate network, so F ions primarily replace OH groups, whereby the network is progressively dissolved. Kline and Fogler99,104 described three models of interaction of HF with silicate surfaces and point out that water presence is a prerequisite. Spierings102 considered the presence of HF and HF2 rather than F to be crucial. Adsorption and chemisorption of the reactive species and the effect of adsorption at the siloxane bonds dominate the dissolution process. Achievable etch rates depend on the connectivity of the glass and glass ceramic phases and are subject to parameters such as etchant concentration, temperature, time, and transport processes in the boundary layer between the etchant and substrate surface. Wet chemical etching is characterized by a high selectivity between glassy and crystallized areas, where the crystallized phase exhibits a much higher solubility in the etchant with typical etch rate ratios around 1:30.90

RIE of photosensitive glasses appears to be significantly different from wet chemical etching. This is evident from the observation of an inversion of the etch selectivity between glassy and crystalline phases; see Fig. 9.

A fluorine-based plasma etching was performed using a CF4/H2 mixture. The samples were examined with SEM after the plasma etching. The result is shown in Fig. 9 in comparison with a wet chemically etched sample. The etch rate at the crystallized areas is lower than the glass etch rate. This result is in contrast to wet etching and points to the complexity of network or lattice dissolving mechanisms accompanied with the mechanical attack of ions during the dry etching process. First investigations indicate that the availability of water species in the glass and glass ceramic structure has a decisive influence on fluorine activity. The impact on photosensitive glass treatment has hardly been investigated so far. One study reporting on H relevance to dry etching by Anthony et al.105 investigated a Ga+ focused ion beam process for Foturan glass. They reported for the first time an experimental sputter yield of 0.23  μm3nC1 for 30  keVGa+ irradiation of Foturan. They assume a mechanism based on the deep implantation of protons in combination with the generation of secondary electrons that massively damages the bonds of the glass structure.

The characteristic values of micro-optical components made of photosensitive glass are controlled by the chemical composition and the microstructure of glassy and crystalline areas.96 The refractive index and optical transmission are determined by the material, i.e., components, density, and internal interfaces. The surface roughness, the topography of spheroidal etch patterns, and the geometry of volume diaphragms are largely determined by the microforming process. According to Lambert–Beers law, the absorption is dependent on the concentration of absorbing species and on the thickness of the absorbing layer. The use of dry etching techniques allows thin transparent glass areas to be placed next to thicker nontransparent glass ceramic areas in one monolithic component. Another characteristic is the surface roughness, which is the result of the etch selectivity between the individual LMS crystals and the glass environment around each individual crystal. Dry-etched glass ceramic surfaces differ significantly from wet-chemically prepared glass surfaces. In both cases, the roughness depends on the size and distribution of the LMS crystals. The high solubility of the LMS crystals in a wet chemical etching process leads to cavities in the glass matrix, which develop into a typical pattern of spherical depressions by overetching. According to current knowledge, dry etching processes are significantly influenced by the formation of passivation layers on the silicate glass substrate surface. Self-masking effects dominate the surface topography. Using photosensitive glasses, the influence of structural heterogeneities in the glass and glass ceramic phase on etch selectivity is currently being investigated. Future investigations will focus on optimizing the etch selectivity with the aim of smooth surfaces. Realizing dynamic conditions in dry etching regarding gas mixtures, pressures, and temperatures offers a particularly high potential for future microforming processes of optical components, i.e., for nonplanar 3D volume diaphragms (Fig. 10). The plasma-based dry etching process for the microstructuring of photosensitive glasses is an innovative approach and therefore the subject of current research. In the context presented, the requirement for an etching process is to achieve precision across scales, from the mm to nm range. The main questions include the following: (i) what potential do photosensitive glasses offer in connection with a dry etching process for the production of micro-optical components, i.e., with high aspect ratios? (ii) What mechanisms underlie the etching attacks in glass and glass-ceramic phases? (iii) How can these be controlled to produce surfaces with a defined optical quality?

6.

Fabrication of 3D Microresonators

In this section, we introduce an additional degree of freedom available during the fabrication process of 3D profiles for optical microsystems. The integration of an oxidation step for material conversion turns out to be highly beneficial for the fabrication of well-defined 3D optical microstructures such as optical microresonators with high Q-factors. Our concept is to use the well-established 2.5D micromachining of silicon for determining the overall shape of the resonator. A suitably integrated oxidation step then allows the resonator layer to be converted into the dielectric SiO2 layer. The annealing process coming along with the oxidation guarantees extremely smooth sidewalls of the resonator layer, which is reflected in the high Q-factors that could be demonstrated for the optical elements.

The fabrication of whispering gallery mode resonators using state-of-the-art plasma etching is a challenging endeavor. High Q factors and, therefore, low loss can be achieved by confining the light at the cavity boundary due to total internal reflection. Therefore, a low surface roughness at the cavity boundary is vital to avoid scattering losses and thus lower Q-factors. The established fabrication process applied for whispering gallery resonators thus includes a postprocessing step that heats the resonator until it starts to melt and forms a donut-like shape since the silicon pad underneath acts as a heat sink. Due to these postprocessing steps, which effectively represent an individual treatment of each resonator separately, it is not easy to scale this process.106 However, it has been shown that a wafer scale fabrication process is feasible by integration of a thermal annealing process.107

We realized a fabrication technique that allows for the fabrication of several thousands of high Q factor (>105) whispering gallery resonators using state-of-the-art i-line lithography and fluorocarbon etching tools. Furthermore, we developed a particular fabrication workflow with a self-limiting postprocessing step conducted at the wafer level. For this, we create a 2.5D resonator profile out of a silicon substrate using a combination of passivation, passivation etch, and anisotropic and isotropic etch steps using SF6 and C4F8 or cryogenic SF6 and O2 as feed gases as shown in Fig. 11. Since the etching of 2.5D in silicon profiles is well understood, we have more flexibility for structuring as compared with silicon dioxide etching.108,109 After the etching, we use a thermal oxidation furnace to create an amorphous silicon dioxide shell with a thickness from a few hundred nanometers up to 5  μm. The necessary thickness of the amorphous SiO2 shell is determined by the amount of surface damage caused during the etching steps. We remove the shell by a wet chemical HF dip. After this step, we obtain a very smooth silicone resonator with a surface roughness of Rq0.8  nm. Then, we use an additional oxidation step to produce the shell, which is used to guide light into the resonator. A critical point is the thickness of the silica shell in comparison with the wavelength. A thickness that is smaller than two wavelengths leads to mode migration into the silicon core. Simulations show that a thickness of several μm (i.e., three to five times the wavelengths) should be sufficient to avoid mode migration.

Fig. 11

SEM image of a whispering gallery resonator in shortegg shape in silicon captured with an acceleration voltage of 5 kV. The process schematic depicts the different fabrication step followed by the described oxidation smoothing step. The resulting surface roughness is Rq0.8  nm.

JOM_1_4_040901_f011.png

7.

Conclusion and Outlook

We demonstrated a broad variety of technological processes for the fabrication of 3D micro- and nanostructures for optical microsystems. In all cases, extraordinarily well-defined etching is a crucial part of the fabrication process. The presented results show that plasma-based etching processes allow for a broad range of 3D shapes and surface properties in different kinds of silicate-based optical glasses ranging from pure silica to low expansion materials, photosensitive glasses, and thin amorphous silica layers. These encouraging results show the potential of this structuring technology for various optical applications. Figure 12 shows a summary of the various fields of application, the structuring requirements, and the relevant process parameters.

Fig. 12

Overview of micro-optical systems generated in glass, the requirements from the application side as well as the used processes and masking technologies.

JOM_1_4_040901_f012.png

Nevertheless, numerous challenges and potential for future research activities remain, specifically for knowledge-based etching of complex silicate materials (glasses, glass ceramics), which are of special interest for optical microsystems. Although many of the results achieved so far are still gained through experience-based optimization of the suitable processing parameters, in many cases the understanding of the underlying chemical and physical processes during the interaction of the plasma and the silicate substrates is still fragmentary. Due to the huge potential of 3D micro- and nanostructures fabricated in this class of materials with flexibly tunable material properties (cf. the numerous types of optical glasses), it is easy to foresee tremendous interdisciplinary scientific potential for this area.

Future research activities need to deepen the understanding and technical control of glass etching for optical microsystems. This includes, first, the in-situ observation of chemical and physical processes within the plasma and at the glass–plasma/mask–plasma interface. Based on an improved understanding of this interaction, the processes will be adapted with respect to the different optimization goals that are required within a complex optical microsystem with 3D microprofiles and specific localized surface properties. The interaction between process, material, and mask and their specific influence plays an important role. Interdisciplinary research activities need to cover the whole range from the physical understanding and technical control of the plasma generation and formation, the in-situ observation and characterization of the reactions in the plasma and at the substrate surfaces all the way to the chemical interaction between the reactive gases and the glass surfaces. This will be accompanied by research on the huge range of applications for the resulting 3D microstructures in optical microsystems.

Acknowledgments

The authors gratefully acknowledge the continuous technological support from the interdisciplinary technical and scientific staff collaborating in the Zentrum für Mikro- und Nanotechnologien at the Technische Universität Ilmenau. With respect to the etching technology, these are specifically M. Breiter, B. Volland, Patrick Feßer, Lutz Müller, and Eric Markweg. Funding for this research was provided by the German Science Foundation (DFG), within the projects “Graduiertenkolleg (RTG) zur spitzen- und laserbasierten 3D-Nanofabrikation in erweiterten makroskopischen Arbeitsbereichen” (NanoFab - FKZ: DFG GRK 2182); “Dynamische optische Wellenfront Synthesizer - Design, Herstellung und Systemintegration - Wavesynth” (FKZ: SI 573/10-1); “Adaptives Scannendes Einzelfacettenauge - Adascan” (FKZ: SI 573/7-2) and “Tunable Compound Lens - TuCoLens“(SI 573/7-1); “3D Mikro- und Nanostrukturierung photosensitiver Gläser mit Hilfe von NIR-Femtosekundenlaserstrahlung für Zellträgerstrukturen in Mikrofluidikchips” (FKZ: RA 706/6-1), as well as by the German federal research department (Bundesministerium für Bildung und Forschung BMBF), through the projects “Kompetenzdreieck Optische Mikrosysteme - KD OptiMi” (FKZ: 16SV3700, FKZ:16SV5473) and “Optische Mikrosysteme für die hyperspektrale Sensorik (OpMiSen)” (FKZ: 16SV5575K). The authors also acknowledge financial support of the Federal Ministry for Economic Affairs and Energy (Bundesministerium für Wirtschaft und Energie BMWi) within the project “Entwicklung mikromechanischer Schwingungselemente durch Strukturierung von Zerodur mittels Tiefenätzen - KeraSch” (FKZ: KF2731208AG4) as well as the state of Thuringia (TMWWDG) through the project “Integrierte optisch-fluidische Mikrosysteme für die Mikromanipulation und Sensorik in der Biotechnologie - integrierte mikrooptische Pinzette (FKZ: B514-06006)” and the research group “Heterogene Elektronik-Photonik-Integration zur Realisierung quantenoptischer Komponenten” (FZK: 2020 FGR 0048).

References

1. 

K. Kurisu, T. Okada and K. Ebata, “Developments in SiO2 multistep diffractive optical element for beam homogenizing,” Proc. SPIE, 5347 1 –8 (2004). https://doi.org/10.1117/12.528817 PSISDG 0277-786X Google Scholar

2. 

C. Bischoff et al., “Design and manufacturing method of fundamental beam mode shaper for adapted laser beam profile in laser material processing,” Materials (Basel, Switzerland), 12 (14), 2254 (2019). https://doi.org/10.3390/ma12142254 Google Scholar

3. 

J. Amako, D. Sawaki and E. Fujii, “High-efficiency diffractive beam splitters surface-structured on submicrometer scale using deep-UV interference lithography,” Appl. Opt., 48 (27), 5105 –5113 (2009). https://doi.org/10.1364/AO.48.005105 APOPAI 0003-6935 Google Scholar

4. 

R. Brunner et al., “Diffraction-based solid immersion lens,” J. Opt. Soc. Am. A, Opt. Image Sci. Vision, 21 (7), 1186 –1191 (2004). https://doi.org/10.1364/JOSAA.21.001186 Google Scholar

5. 

J. M. Miller et al., “Multilevel-grating array generators: fabrication error analysis and experiments,” Appl. Opt., 32 (14), 2519 –2525 (1993). https://doi.org/10.1364/AO.32.002519 APOPAI 0003-6935 Google Scholar

6. 

P. Mondal et al., “Experimental realization of Talbot array illumination for a 2-dimensional phase grating,” J. Appl. Phys., 120 (15), 153103 (2016). https://doi.org/10.1063/1.4965696 JAPIAU 0021-8979 Google Scholar

7. 

V. M. Donnelly and A. Kornblit, “Plasma etching: yesterday, today, and tomorrow,” J. Vac. Sci. Technol. A: Vac. Surf. Films, 31 (5), 050825 (2013). https://doi.org/10.1116/1.4819316 Google Scholar

8. 

B. Wu, “Photomask plasma etching: a review,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct., 24 (1), 1 (2006). https://doi.org/10.1116/1.2162580 Google Scholar

9. 

B. J. Kim and E. Meng, “Review of polymer MEMS micromachining,” J. Micromech. Microeng., 26 (1), 013001 (2016). https://doi.org/10.1088/0960-1317/26/1/013001 JMMIEZ 0960-1317 Google Scholar

10. 

C. M. Herzinger et al., “Ellipsometric determination of optical constants for silicon and thermally grown silicon dioxide via a multi-sample, multi-wavelength, multi-angle investigation,” J. Appl. Phys., 83 (6), 3323 –3336 (1998). https://doi.org/10.1063/1.367101 JAPIAU 0021-8979 Google Scholar

11. 

G. Ghosh, “Dispersion-equation coefficients for the refractive index and birefringence of calcite and quartz crystals,” Opt. Commun., 163 (1–3), 95 –102 (1999). https://doi.org/10.1016/S0030-4018(99)00091-7 OPCOB8 0030-4018 Google Scholar

12. 

, “Synthetic fused silica-optical and technical grades: data sheet,” (2006) www.us.schott.com/lithotec Google Scholar

13. 

R. Machorro et al., “Modification of refractive index in silicon oxynitride films during deposition,” Mater. Lett., 45 (1), 47 –50 (2000). https://doi.org/10.1016/S0167-577X(00)00073-2 MLETDJ 0167-577X Google Scholar

14. 

M. Serényi, M. Rácz and T. Lohner, “Refractive index of sputtered silicon oxynitride layers for antireflection coating,” Vacuum, 61 (2), 245 –249 (2001). https://doi.org/10.1016/S0042-207X(01)00124-5 VACUAV 0042-207X Google Scholar

15. 

, “ULE corning code 7972 ultra low expansion glass: datasheet,” (2016) https://www.corning.com/media/worldwide/csm/documents/7972%20ULE%20Product%20Information%20Jan%202016.pdf Google Scholar

17. 

, “Foturan II: Photostructurable glass wafer,” (2017) https://www.schott.com/en-bg/products/foturan-2-p1000321/downloads Google Scholar

18. 

S. Sinzinger and J. Jahns, Microoptics, Wiley-VCH, Hoboken (2006). Google Scholar

19. 

H. P. Herzig, Micro-Optics: Elements, Systems and Applications, Chapman and Hall/CRC, Boca Raton (2014). Google Scholar

20. 

K. O. Mersereau et al., “Fabrication and measurement of fused silica microlens arrays,” Proc. SPIE, 1751 229 (1992). https://doi.org/0.1117/12.138900 PSISDG 0277-786X Google Scholar

21. 

M. B. Stern, “Fabricating binary optics: process variables critical to optical efficiency,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct., 9 (6), 3117 (1991). https://doi.org/10.1116/1.585323 Google Scholar

22. 

M. Eisner and J. Schwider, “Transferring resist microlenses into silicon by reactive ion etching,” Opt. Eng., 35 (10), 2979 (1996). https://doi.org/10.1117/1.600981 Google Scholar

23. 

W. J. Dallas, “Phase quantization-a compact derivation,” Appl. Opt., 10 (3), 673 –674 (1971). https://doi.org/10.1364/AO.10.000673 APOPAI 0003-6935 Google Scholar

24. 

U. Krackhardt, N. Streibl and J. Schwider, “Fabrication errors of computer-generated multilevel phase-holograms,” Optik, 95 137 –146 (1994). OTIKAJ 0030-4026 Google Scholar

25. 

J. W. Goodman and A. M. Silvestri, “Some effects of Fourier-domain phase quantization,” IBM J. Res. Dev., 14 (5), 478 –484 (1970). https://doi.org/10.1147/rd.145.0478 IBMJAE 0018-8646 Google Scholar

26. 

V. Arrizón and S. Sinzinger, “Modified quantization schemes for Fourier-type array generators,” Opt. Commun., 140 (4-6), 309 –315 (1997). https://doi.org/10.1016/S0030-4018(97)00203-4 OPCOB8 0030-4018 Google Scholar

27. 

H. Dammann, “Spectral characteristic of stepped-phase gratings,” Optik, 53 (5), 409 –417 (1979). OTIKAJ 0030-4026 Google Scholar

28. 

D. C. O’Shea, Diffractive Optics: Design, Fabrication, and Test, vol. TT62 of Tutorial Texts in Optical Engineering, SPIE, Bellingham, Washington (2004). Google Scholar

29. 

J. Jahns et al., “Dammann gratings for laser beam shaping,” Opt. Eng., 28 (12), 281267 (1989). https://doi.org/10.1117/12.7977142 Google Scholar

30. 

P. Lalanne and D. Lemercier-Lalanne, “On the effective medium theory of subwavelength periodic structures,” J. Mod. Opt., 43 (10), 2063 –2085 (1996). https://doi.org/10.1080/09500349608232871 JMOPEW 0950-0340 Google Scholar

31. 

J. Wüster et al., “Nano-imprinted subwavelength gratings as polarizing beamsplitters,” J. Eur. Opt. Soc.-Rap. Publ., 17 (1), 4 (2021). https://doi.org/10.1186/s41476-021-00149-8 Google Scholar

32. 

L. H. Cescato, E. Gluch and N. Streibl, “Holographic quarterwave plates,” Appl. Opt., 29 (22), 3286 –3290 (1990). https://doi.org/10.1364/AO.29.003286 APOPAI 0003-6935 Google Scholar

33. 

H. Bartelt, T. Glaser and S. Schröter, “Modelling and characterization of optical high frequency gratings,” Optik, 112 (7), 283 –288 (2001). https://doi.org/10.1078/0030-4026-00055 OTIKAJ 0030-4026 Google Scholar

34. 

H. Kikuta, Y. Ohira and K. Iwata, “Achromatic quarter-wave plates using the dispersion of form birefringence,” Appl. Opt., 36 (7), 1566 –1572 (1997). https://doi.org/10.1364/AO.36.001566 APOPAI 0003-6935 Google Scholar

35. 

J. Schmitt et al., “Reactive ion etching (CF4/Ar) and ion beam etching of various glasses for diffractive optical element fabrication,” Int. J. Appl. Glass Sci., 9 (4), 499 –509 (2018). https://doi.org/10.1111/ijag.12412 Google Scholar

36. 

J. W. Coburn and H. F. Winters, “Plasma etching: a discussion of mechanisms,” J. Vac. Sci. Technol., 16 (2), 391 –403 (1979). https://doi.org/10.1116/1.569958 JVSTAL 0022-5355 Google Scholar

37. 

V. M. Donnelly et al., “Anisotropic etching of SiO2 in low-frequency CF4/O2 and NF3/Ar plasmas,” J. Appl. Phys., 55 (1), 242 –252 (1984). https://doi.org/10.1063/1.332872 JAPIAU 0021-8979 Google Scholar

38. 

M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing, John Wiley & Sons, Inc., Hoboken, New Jersey (2005). Google Scholar

39. 

K. Nojiri, Dry Etching Technology for Semiconductors, Springer, Cham (2015). Google Scholar

40. 

M. Huff, “Recent advances in reactive ion etching and applications of high-aspect-ratio microfabrication,” Micromachines, 12 (8), 991 (2021). https://doi.org/10.3390/mi12080991 Google Scholar

41. 

D. Zhang, M. J. Kushner and C. Cui, “Reaction mechanisms and SiO2 profile evolution in fluorocarbon plasmas,” in 47th AVS Int. Symp., (2000). Google Scholar

42. 

C. Cardinaud, “Fluorine-based plasmas: main features and application in micro-and nanotechnology and in surface treatment,” C. R. Chim., 21 (8), 723 –739 (2018). https://doi.org/10.1016/j.crci.2018.01.009 Google Scholar

43. 

H. F. Winters, “Elementary processes at solid surfaces immersed in low pressure plasmas,” Plasma Chemistry III, 94 69 –125 1980). Google Scholar

44. 

H. F. Winters and J. W. Coburn, “Surface science aspects of etching reactions,” Surf. Sci. Rep., 14 (4-6), 162 –269 (1992). https://doi.org/10.1016/0167-5729(92)90009-Z SSREDI 0167-5729 Google Scholar

45. 

M. Köhler, Ätzverfahren für die Mikrotechnik, Wiley-VCH, Weinheim (1998). Google Scholar

46. 

L. Lallement et al., “Etching studies of silica glasses in SF6/Ar inductively coupled plasmas: implications for microfluidic devices fabrication,” J. Vac. Sci. Technol. A: Vac. Surf. Films, 28 (2), 277 –286 (2010). https://doi.org/10.1116/1.3298875 Google Scholar

47. 

X. Li, T. Abe and M. Esashi, “Deep reactive ion etching of Pyrex glass using SF6 plasma,” Sens. Actuators A: Phys., 87 (3), 139 –145 (2001). https://doi.org/10.1016/S0924-4247(00)00482-9 Google Scholar

48. 

J. H. Park et al., “Deep dry etching of borosilicate glass using SF6 and SF6/Ar inductively coupled plasmas,” Microelectron. Eng., 82 (2), 119 –128 (2005). https://doi.org/10.1016/j.mee.2005.07.006 MIENEF 0167-9317 Google Scholar

49. 

C. Weigel et al., “Deep etching of Zerodur glass ceramics in a fluorine-based plasma,” Microelectron. Eng., 185–186 1 –8 (2018). https://doi.org/10.1016/j.mee.2017.10.013 MIENEF 0167-9317 Google Scholar

50. 

A. Sankaran and M. J. Kushner, “Fluorocarbon plasma etching and profile evolution of porous low-dielectric-constant silica,” Appl. Phys. Lett., 82 (12), 1824 –1826 (2003). https://doi.org/10.1063/1.1562333 APPLAB 0003-6951 Google Scholar

51. 

K. Kolari, “Deep plasma etching of glass with a silicon shadow mask,” Sens. Actuators A: Phys., 141 (2), 677 –684 (2008). https://doi.org/10.1016/j.sna.2007.09.005 Google Scholar

52. 

V. Bliznetsov et al., “Deep SiO2 etching with Al and AlN masks for MEMS devices,” J. Micromech. Microeng., 25 (8), 087002 (2015). https://doi.org/10.1088/0960-1317/25/8/087002 JMMIEZ 0960-1317 Google Scholar

53. 

G. S. Oehrlein, “Surface processes in low pressure plasmas,” Surf. Sci., 386 (1–3), 222 –230 (1997). https://doi.org/10.1016/S0039-6028(97)00304-X SUSCAS 0039-6028 Google Scholar

54. 

K. Morikawa, K. Matsushita and T. Tsukahara, “Rapid plasma etching for fabricating fused silica microchannels,” Anal. Sci.: Int. J. Jpn. Soc. Anal. Chem., 33 (12), 1453 –1456 (2017). https://doi.org/10.2116/analsci.33.1453 Google Scholar

55. 

M. Pedersen and M. Huff, “Development of process recipes for maximum mask etch selectivity and maximum etch rate having vertical sidewalls for deep, highly-anisotropic inductively-coupled plasma (ICP) etching of fused silica,” ECS J. Solid State Sci. Technol., 6 (9), P644 –P652 (2017). https://doi.org/10.1149/2.0191709jss Google Scholar

56. 

S. Queste et al., “DRIE of non-conventional materials: first results,” in Proceedings of the 4th International Conference on Multi Material Micro Manufacture, 171 –174 (2008). Google Scholar

57. 

M. J. Ahamed et al., “Deep NLD plasma etching of fused silica and borosilicate glass,” in IEEE Sens. 2013 Conf., 1 –4 (2013). Google Scholar

58. 

L. Lin et al., “Investigation of fused silica glass etching using C4F8/Ar inductively coupled plasmas for through glass via (TGV) applications,” Microsyst. Technol., 22 (1), 119 –127 (2016). https://doi.org/10.1007/s00542-015-2449-z 0946-7076 Google Scholar

59. 

T. E. F. M. Standaert et al., “Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide,” J. Vac. Sci. Technol. A: Vac. Surf. Films, 22 (1), 53 –60 (2004). https://doi.org/10.1116/1.1626642 Google Scholar

60. 

O. Joubert, “Analyses of the chemical topography of silicon dioxide contact holes etched in a high density plasma source,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct., 15 (3), 629 (1997). https://doi.org/10.1116/1.589305 Google Scholar

61. 

C. Zhang and S. Tadigadapa, “Modified inductively coupled plasma reactive ion etch process for high aspect ratio etching of fused silica, borosilicate and aluminosilicate glass substrates,” Sens. Actuators A: Phys., 273 147 –158 (2018). https://doi.org/10.1016/j.sna.2018.02.020 Google Scholar

62. 

T. Ichiki et al., “Deep dry etching of borosilicate glass using fluorine-based high-density plasmas for microelectromechanical system fabrication,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct., 21 (5), 2188 (2003). https://doi.org/10.1116/1.1612935 Google Scholar

63. 

C. Weigel et al., “A monolithic micro-optical interferometer deep etched into fused silica,” Microelectron. Eng., 174 40 –45 (2017). https://doi.org/10.1016/j.mee.2017.01.002 MIENEF 0167-9317 Google Scholar

64. 

T. Ray, H. Zhu and D. R. Meldrum, “Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications,” J. Micromech. Microeng., 20 (9), 097002 (2010). https://doi.org/10.1088/0960-1317/20/9/097002 JMMIEZ 0960-1317 Google Scholar

65. 

C. Weigel, S. Sinzinger and M. Hoffmann, “Deep etched and released microstructures in Zerodur in a fluorine-based plasma,” Microelectron. Eng., 198 78 –84 (2018). https://doi.org/10.1016/j.mee.2018.07.004 MIENEF 0167-9317 Google Scholar

66. 

C. Weigel et al., “Highly anisotropic fluorine-based plasma etching of ultralow expansion glass,” Adv. Eng. Mater., 23 2001336 (2021). https://doi.org/10.1002/adem.202001336 AENMFY 1438-1656 Google Scholar

67. 

G. Franz, Low Pressure Plasmas and Microstructuring Technology, Springer-Verlag, Berlin, Heidelberg (2009). Google Scholar

68. 

D. A. Zeze et al., “Reactive ion etching of quartz and Pyrex for microelectronic applications,” J. Appl. Phys., 92 (7), 3624 –3629 (2002). https://doi.org/10.1063/1.1503167 JAPIAU 0021-8979 Google Scholar

69. 

T. G. Park et al., “The characteristics of glass deep dry etching process with a single PR mask,” in Proc. 2011 6th IEEE Int. Conf. Nano/Micro Eng. and Mol. Syst., (2011). https://doi.org/10.1109/NEMS.2011.6017495 Google Scholar

70. 

P. W. Leech, “Reactive ion etching of quartz and silica-based glasses in CF4/CHF3 plasmas,” Vacuum, 55 (3-4), 191 –196 (1999). https://doi.org/10.1016/S0042-207X(99)00146-3 VACUAV 0042-207X Google Scholar

71. 

Z. Cao et al., “DRIE of fused silica,” in IEEE 26th Int. Conf. Micro Electro Mech. Syst. (MEMS), 361 –364 (2013). https://doi.org/10.1109/MEMSYS.2013.6474253 Google Scholar

72. 

W. J. Mitchell et al., “Highly selective and vertical etch of silicon dioxide using ruthenium films as an etch mask,” J. Vac. Sci. Technol. A, 39 (4), 043204 (2021). https://doi.org/10.1116/6.0001030 JVTAD6 0734-2101 Google Scholar

73. 

R. Kirchner, “Benchmarking surface selective vacuum ultraviolet and thermal postprocessing of thermoplastics for ultrasmooth 3-D-printed micro-optics,” Opt. Eng., 57 (4), 041403 (2018). https://doi.org/10.1117/1.OE.57.4.041403 Google Scholar

74. 

K. Lindsey and A. Franks, “Metal optics versus glass optics,” Proc. SPIE, 0163 46 –54 (1979). https://doi.org/10.1117/12.956911 PSISDG 0277-786X Google Scholar

75. 

A. P. Carapella et al., “ULE glass for EUVL applications, a fictive temperature correlation,” J. Non-Crystall. Solids, 367 37 –42 (2013). https://doi.org/10.1016/j.jnoncrysol.2013.01.052 JNCSBJ 0022-3093 Google Scholar

76. 

K. E. Hrdina and C. A. Duran, “ULE glass with improved thermal properties for EUVL masks and projection optics substrates,” Int. J. Appl. Glass Sci., 5 (1), 82 –88 (2014). https://doi.org/10.1111/ijag.12041 Google Scholar

77. 

G. Jäger et al., “Nanopositioning and nanomeasuring machine NPMM-200: a new powerful tool for large-range micro- and nanotechnology,” Surf. Topogr.: Metrol. Prop., 4 (3), 034004 (2016). https://doi.org/10.1088/2051-672X/4/3/034004 Google Scholar

78. 

E. Manske et al., “Recent developments and challenges of nanopositioning and nanomeasuring technology,” Meas. Sci. Technol., 23 (7), 074001 (2012). https://doi.org/10.1088/0957-0233/23/7/074001 MSTCEP 0957-0233 Google Scholar

79. 

S. Hartlieb et al., “Hochgenaue Kalibrierung eines holografischen Multi-Punkt-Positions mess systems,” Tech. Messen, 87 (7-8), 504 –513 (2020). https://doi.org/10.1515/teme-2019-0153 Google Scholar

80. 

J. W. Pepi and D. Golini, “Delayed elastic effects in the glass ceramics Zerodur and ULE at room temperature,” Appl. Opt., 30 (22), 3087 –3090 (1991). https://doi.org/10.1364/AO.30.003087 APOPAI 0003-6935 Google Scholar

81. 

“Elastic hysteresis phenomena in ULE and Zerodur optical glasses at elevated temperatures,” Proc. SPIE, 970 (1989). https://doi.org/10.1117/12.948176 Google Scholar

82. 

J. J. Shaffer and H. E. Bennett, “Effect of thermal cycling on dimensional stability of Zerodur and ULE,” Appl. Opt., 23 (17), 2852 (1984). https://doi.org/10.1364/AO.23.002852 APOPAI 0003-6935 Google Scholar

83. 

E. Markweg et al., “Planar plano-convex microlens in silica using ICP-CVD and DRIE,” Proc. SPIE, 8550 85500T (2012). https://doi.org/10.1117/12.981266 PSISDG 0277-786X Google Scholar

84. 

D. T. Moore, “Gradient-index optics: a review,” Appl. Opt., 19 (7), 1035 –1038 (1980). https://doi.org/10.1364/AO.19.001035 APOPAI 0003-6935 Google Scholar

85. 

Y. Shi et al., “A review: preparation, performance, and applications of silicon oxynitride film,” Micromachines, 10 (8), 552 (2019). https://doi.org/10.3390/mi10080552 Google Scholar

86. 

M. G. Hussein et al., “Stability of low refractive index PECVD silicon oxynitride layers,” in Proceedings 8th Annual Symposium IEEE/LEOS Benelux Chapter, 77 –80 (2003). Google Scholar

87. 

U. Brokmann et al., “Exposure of photosensitive glasses with pulsed UV-laser radiation,” Microsyst. Technol., 8 (2), 102 –104 (2002). https://doi.org/10.1007/s00542-001-0134-x 0946-7076 Google Scholar

88. 

F. E. Livingston and H. Helvajian, “Variable UV laser exposure processing of photosensitive glass-ceramics: maskless micro- to meso-scale structure fabrication,” Appl. Phys. A, 81 (8), 1569 –1581 (2005). https://doi.org/10.1007/s00339-005-3323-0 Google Scholar

89. 

K. Sugioka, Y. Hanada and K. Midorikawa, “Three-dimensional femtosecond laser micromachining of photosensitive glass for biomicrochips,” Laser Photonics Rev., 4 (3), 386 –400 (2010). https://doi.org/10.1002/lpor.200810074 Google Scholar

90. 

U. Brokmann et al., “Fabrication of 3D microchannels for tissue engineering in photosensitive glass using nir femtosecond laser radiation,” Biomed. Glasses, 5 (1), 34 –45 (2019). https://doi.org/10.1515/bglass-2019-0003 Google Scholar

91. 

N. F. Borrelli et al., “Photolytic technique for producing microlenses in photosensitive glass,” Appl. Opt., 24 2520 –2525 (1985). https://doi.org/10.1364/AO.24.002520 APOPAI 0003-6935 Google Scholar

92. 

Z. Wang, K. Sugioka and K. Midorikawa, “Fabrication of integrated microchip for optical sensing by femtosecond laser direct writing of foturan glass,” Appl. Phys. A, 93 (1), 225 –229 (2008). https://doi.org/10.1007/s00339-008-4664-2 Google Scholar

93. 

C. H. Lin et al., “Fabrication of microlens arrays in photosensitive glass by femtosecond laser direct writing,” Appl. Phys. A, 97 (4), 751 (2009). https://doi.org/10.1007/s00339-009-5350-8 Google Scholar

94. 

K. H. M. Tantawi et al., “Processing of photosensitive Apex™ glass structures with smooth and transparent sidewalls,” J. Micromech. Microeng., 21 (1), 017001 (2010). https://doi.org/10.1088/0960-1317/21/1/017001 JMMIEZ 0960-1317 Google Scholar

95. 

K. H. Tantawi, E. Waddel and J. D. Williams, “Structural and composition analysis of Apex™ and Foturan™ photodefinable glasses,” J. Mater. Sci., 48 (15), 5316 –5323 (2013). https://doi.org/10.1007/s10853-013-7326-5 JMTSAS 0022-2461 Google Scholar

96. 

E. R. M. Machalett and U. Brokmann, “Optimierung der NIR absorption von fotostrukturierbarem Glas für MORES,” 85. Glastechnische Jahrestagung, Saarbrücken, 71 2011). Google Scholar

97. 

A. B. D. Hülsenberg and A. Harnisch, Microstructuring of Glasses, Springer Verlag, Berlin Heidelberg (2008). Google Scholar

98. 

F. M. Ernsberger, “Structural effects in the chemical reactivity of silica and silicates,” J. Phys. Chem. Solids, 13 (3), 347 –351 (1960). https://doi.org/10.1016/0022-3697(60)90020-2 JPCSAW 0022-3697 Google Scholar

99. 

W. E. Kline and H. S. Fogler, “Dissolution of silicate minerals by hydrofluoric acid,” Ind. Eng. Chem. Fundam., 20 (2), 155 –161 (1981). https://doi.org/10.1021/i100002a008 IECFA7 0196-4313 Google Scholar

100. 

T. A. Michalske and S. W. Freiman, “A molecular mechanism for stress corrosion in vitreous silica,” J. Am. Ceram. Soc., 66 (4), 284 –288 (1983). https://doi.org/10.1111/j.1151-2916.1983.tb15715.x JACTAW 0002-7820 Google Scholar

101. 

D. J. Monk, D. S. Soane and R. T. Howe, “A review of the chemical reaction mechanism and kinetics for hydrofluoric acid etching of silicon dioxide for surface micromachining applications,” Thin Solid Films, 232 (1), 1 –12 (1993). https://doi.org/10.1016/0040-6090(93)90752-B THSFAP 0040-6090 Google Scholar

102. 

G. A. C. M. Spierings, “Wet chemical etching of silicate glasses in hydrofluoric acid based solutions,” J. Mater. Sci., 28 (23), 6261 –6273 (1993). https://doi.org/10.1007/BF01352182 JMTSAS 0022-2461 Google Scholar

103. 

R. Conradt, “Chemical durability of oxide glasses in aqueous solutions: a review,” J. Am. Ceram. Soc., 91 (3), 728 –735 (2008). https://doi.org/10.1111/j.1551-2916.2007.02101.x JACTAW 0002-7820 Google Scholar

104. 

W. E. Kline and H. S. Fogler, “Dissolution kinetics: catalysis by strong acids,” J. Colloid Interface Sci., 82 (1), 93 –102 (1981). https://doi.org/10.1016/0021-9797(81)90127-2 JCISA5 0021-9797 Google Scholar

105. 

P. D. P. C. J. Anthony, P. T. Docker and K. Jiang, “Focused ion beam microfabrication in Foturan™ photosensitive glass,” J. Micromech. Microeng., 17 115 –119 (2007). https://doi.org/10.1088/0960-1317/17/1/015 JMMIEZ 0960-1317 Google Scholar

106. 

D. Armani et al., “Ultra-high-q toroid microcavity on a chip,” Nature, 421 (6926), 925 –928 (2003). https://doi.org/10.1038/nature01371 Google Scholar

107. 

K. A. Knapper et al., “Chip-scale fabrication of high-Q all-glass toroidal microresonators for single-particle label-free imaging,” Adv. Mater., 28 (15), 2945 –2950 (2016). https://doi.org/10.1002/adma.201504976 ADVMEW 0935-9648 Google Scholar

108. 

I. W. Rangelow, “Critical tasks in high aspect ratio silicon dry etching for microelectromechanical systems,” J. Vac. Sci. Technol. A: Vac. Surf. Films, 21 (4), 1550 –1562 (2003). https://doi.org/10.1116/1.1580488 Google Scholar

109. 

V. Ishchuk et al., “Profile simulation model for sub-50 nm cryogenic etching of silicon using SF6/O2 inductively coupled plasma,” J. Appl. Phys., 118 (5), 053302 (2015). https://doi.org/10.1063/1.4927731 JAPIAU 0021-8979 Google Scholar

Biography

Christoph Weigel received his MSc degree and PhD from Technische Universität Ilmenau (Germany) in 2012 and 2019, respectively. Currently, he works as a research fellow at the Microsystems Technology Group. One of his research interests is the (3D) plasma structuring of complex glasses and glass ceramics for micro-mechanical and micro-optical applications. He is the author of several journal articles and conference proceedings.

Ulrike Brokmann received her PhD from Technische Universität Ilmenau in 2005. She works there as a research fellow at the Group of Inorganic- Non Metallic Materials with expertise in the field of micro- and nanostructuring of glasses and glass ceramics, focusing on photosensitive glasses, interaction processes between glasses and (ultra)short pulse laser radiation, wet chemical etching processes for microforming of MOEMS, and tissue engineering applications.

Meike Hofmann received her PhD from Technische Universität Ilmenau in 2013 and spent more than 4 years at the IMTEK in Freiburg (Germany) on research in the field of micro-optics. Now she is working as a research fellow at the Group of Technische Optik at TU Ilmenau.

Arne Behrens is a doctorate student at the Technische Universität Ilmenau in the optical engineering group. He received his BSc degree in mechatronics and his MSc degree in micromechatronics from the Technische Universität Ilmenau in 2016 and 2018, respectively. His research focuses on the fabrication of deformed optical microresonators with widely available microstructuring tools such as i-line lithography and fluorocarbon-based ICP-RIE plants and the characterization of deformed whispering gallery mode resonators using the emitted far-field via a TCSPC approach.

Edda Rädlein has been chair of inorganic-nonmetallic materials at TU Ilmenau since 2007. She received her diploma in physics from FAU Erlangen-Nürnberg in 1985 and her PhD in materials science from TU Clausthal in 1991. She is the author of 60 reviewed papers and book sections. Her research focuses on glass surface alteration in terms of intrinsic behavior, preventing corrosive attack, and adding value, as well as glass ceramic and phase separation routes for microstructuring.

Martin Hoffmann is a professor for Microsystems Technology. He received his PhD and habilitation from the Univerität Dortmund in 1996 and 2003, respectively. He has worked on integrated optics and optical and THz MEMS as well as microactuators and passive microsensors at several companies and at TU Ilmenau until 2017 when he joined Ruhr-Universität Bochum (Germany).

Steffen Strehle is a professor at the Technische Universität Ilmenau (Germany), where he heads the Microsystems Technology Group. He received his PhD from TU Dresden (Germany) in 2007 and has worked in the research field of micro- and nanotechnology and miniaturized devices as a scientist at TU Dresden, IFW Dresden, and Harvard University (USA) and as a professor at Ulm University (Germany).

Stefan Sinzinger is a professor for Technische Optik (optical engineering) at the Technische Universität Ilmenau, Germany. In 1993, he received his PhD from the Friedrich-Alexander Universität Erlangen-Nürnberg and in 2001 the Habilitation from the Fernuniversität Hagen. As coauthor of the textbook microoptics, his research interests focus on design and fabrication of microoptical elements as well as microoptical systems integration.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Christoph Weigel, Ulrike Brokmann, Meike Hofmann, Arne Behrens, Edda Rädlein, Martin Hoffmann, Steffen Strehle, and Stefan Sinzinger "Perspectives of reactive ion etching of silicate glasses for optical microsystems," Journal of Optical Microsystems 1(4), 040901 (22 December 2021). https://doi.org/10.1117/1.JOM.1.4.040901
Received: 28 July 2021; Accepted: 26 November 2021; Published: 22 December 2021
Lens.org Logo
CITATIONS
Cited by 10 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Etching

Glasses

Reactive ion etching

Optical microsystems

Plasma

Ions

Silicate glass

Back to Top