Open Access
12 April 2016 Toward defect-free fabrication of extreme ultraviolet photomasks
Author Affiliations +
Abstract
Defect-free fabrication of extreme ultraviolet (EUV) masks relies on the appropriate detection of native defects and subsequent strategies for their elimination. Commercial unavailability of actinic mask-blank inspection systems motivates the identification of an optical inspection methodology most suitable for finding relevant EUV blank defects. Studies showed that 193-nm wavelength inspection found the greatest number of printable defects as compared with rival higher-wavelength systems, establishing deep ultraviolet inspections as the blank defectivity baseline for subsequent mitigation strategies. Next, defect avoidance via pattern shifting was explored using representative 7-nm node metal/contact layer designs and 193-nm mask-blank inspection results. It was found that a significant percentage of native defects could be avoided only when the design was limited to active patterns (i.e., layouts without dummy fill). Total pattern-defect overlap remained ≤5 when metal layer blanks were chosen from the top 35% least defective substrates, while the majority of blanks remained suitable for contacts layers due to a lower active pattern density. Finally, nanomachining was used to address remaining native/multilayer defects. Native catastrophic defects were shown to recover 40% to 70% of target critical dimension after nanomachining, demonstrating the enormous potential for compensating multilayer defects.

1.

Introduction

As device scaling1 continues to drive innovative patterning solutions, extreme ultraviolet lithography (EUVL) has received industry-wide acceptance to complement and likely replace deep ultraviolet (DUV) lithography2 for cutting-edge patterning, in part due to its enhanced patterning resolution and lower process complexity, among other benefits.3 Owing to the considerable absorption of EUV radiation by all forms of matter, the optical elements used in EUVL are based on reflective rather than refractive optics. Specifically, EUV mirrors consist of alternating layers of high and low atomic number materials (e.g., Mo and Si), forming a Bragg reflector for guiding and shaping EUV photons through the lithography system. EUV photomasks utilize the same reflective coating in addition to an absorber material (e.g., TaN) that has been selectively etched to represent the intended circuit design.4 This reflective design introduces a new class of defects not seen in previous mask technologies such that particles could embed themselves in the alternating layers of the Bragg reflector during thin-film deposition, negatively impacting image formation and printing.510 As such, EUV mask defectivity has remained a persistent obstacle that must be addressed in order to enable EUVL high-volume manufacturing (HVM). Various defect-mitigation strategies have been demonstrated in order to reduce the prevalence of native mask-blank defects. These include improvements in polishing techniques for creating the ultra-low-expansion blanks11 and the development of new deposition techniques for creating the multilayered Bragg reflector, limiting defects beyond 54 nm in size.12 Despite key accomplishments in blank fabrication, sub-50-nm defects must also be eliminated, as they have been shown to negatively impact wafer printability.8,9,1317

The basis of defect elimination relies on the proper identification of native mask-blank defects and subsequent avoidance and mitigation techniques. Inspection systems are used to scan the blank and identify defect locations across the substrate, while opportunities exist by means of pattern shift (i.e., purposefully translating the design pattern in order to avoid defects) and postpatterning repair to completely suppress the presence of defects. The absence of a fully commercialized, readily available, HVM actinic inspection system requires the selection of an optical system that will locate the greatest number of relevant defects. The work here first demonstrates that 193-nm inspection finds the greatest number of printable native defects as compared with rival higher-wavelength systems, establishing DUV inspections as the blank defectivity baseline for subsequent mitigation strategies. Based on 193-nm inspection results across 20 EUV blanks, pattern-shift simulations were implemented using representative 7-nm node metal and contact layer designs. It was found that a significant percentage of defects could be avoided by means of pattern shift only when the design contained the active pattern (i.e., layouts without dummy fill patterns). In order to limit total defects to five or fewer after pattern-shift implementation, the top 35% of EUV blanks (based on current 193-nm inspection defectivity rates) are useable for metal layers, while the majority of blanks could be used for contact layers due to its lower active pattern density. Finally, nanomachining18,19 is used to address the remaining native/multilayer defects and attempts to compensate for defects lodged in the multilayer by judicious mechanical exfoliation of the surface material. The experimental results of native defect repair via nanomachining showed consistent improvement in printability for all repaired sites as compared with their prior untreated condition. Native catastrophic defects are shown to recover 40% to 70% of the target critical dimension (CD) depending on the combination of etch depth and absorber bias, demonstrating the enormous potential of multilayer compensation, but also illustrates the needed attention on developing a robust repair methodology to facilitate HVM construction of a complete defect-free mask set.

2.

Nonactinic Mask Inspection

The foundation of defect reduction activities is having the appropriate inspection capabilities to identify relevant native defects that impact wafer printability. There has been great effort and progress into demonstrating a production worthy actinic blank inspection (ABI) tool.2022 ABIs shown success in identifying defects only 1 nm in height,22 and correlations to wafer printability demonstrate the potential for ABI to define a defect printability threshold.21 Despite much promising results, the absence of a fully commercialized, readily available, HVM ABI system motivates a comprehensive study to define an optical inspection methodology most suitable for finding printable defects, in preparation for EUV insertion for sub-10-nm nodes. Figure 1 shows the defect distribution across 10 different EUV blanks, inspected using various methodologies. Figure 1(a) compares between blank inspections on the multilayer (black dots) and immediately after absorber deposition (red circles) using a 488-nm wavelength inspection system. Since all multilayer defects (black dots) overlap with absorber defects (red circles), there is high confidence that defects found in the multilayer are still identifiable after absorber deposition. Additional defects were detected on the absorber after sputtering, which can be attributed to new defect formation during the thin-film deposition process, or previous multilayer defects in which the signals have been enhanced due to the conformal absorber coating. Figure 1(b) compares between absorber blank inspections using a 488-nm wavelength (red circles) and 532-nm wavelength (black dots) system. It is observed that all defects detected at 532 nm were also detected by the 488-nm system. Furthermore, the narrower wavelength inspection system identified additional blank defects that were omitted during 532-nm wavelength inspection. Finally, Fig. 1(c) compares between absorber blank inspections using a 488-nm wavelength (black squares) and a 193-nm wavelength (red dots) system. It shows that not only does the 193-nm system identify all 488-nm wavelength defects, there is an overwhelming amount of additional defects also recorded. The higher inspection sensitivity can be attributed to the narrower inspection wavelength, in addition to the use of phase contrast microscopy techniques for identifying subtle surface perturbations.23 Figure 1(d) summarizes the results so far. A 488-nm absorber inspection accounts for roughly 15% of defects found using a 193-nm system, while 532-nm absorber inspection and 488-nm multilayer inspection only identified a subset of total 488-nm absorber inspection defects.

Fig. 1

Comparisons between blank inspection methodologies across several EUV blanks: (a) overlay of defects identified during blank inspection at 488-nm wavelength before (black dots) and after (red circles) absorber deposition; (b) overlay of defects identified during absorber blank inspection at 532 nm (black dots) and 488 nm (red circles) wavelength; (c) overlay of defects identified during absorber blank inspection at 488 nm (black dots) and 193 nm (red circles) wavelength; (d) stacked Venn diagram showing the growth of defects found between different inspection methodologies.

JM3_15_2_023502_f001.png

Despite the higher sensitivity of 193-nm blank inspection systems, verification of defect impact is necessary to determine whether the higher sensitivity is relevant from a printability perspective, or nuisances. Limitations of wafer-based processes must be taken into account when quantifying mask-defect impact on imaging performance, as extracted from wafer resist measurements. Past work has shown that programmed mask-absorber defects can be hidden by the resist stochastics and process noise.14,15 Aerial image measurements7,24 may provide an alternative to avoid convoluting wafer process variability, or alternatively, use of nonchemically amplified resist25,26 could offer a more intrinsic evaluation of imaging performance. Despite these benefits, chemically amplified resist was used to maintain consistency with realistic HVM exposure conditions on an EUV scanner. Furthermore, it was found that the resulting conclusions would not have changed had all process noises been isolated from the study, due to the limitations of the inspection system, as will be discussed below.

For experimental verification of mask-defect printability, a 0.33 numerical aperture (NA) scanner using quasar illumination was used to expose an even distribution of contact arrays (64p) and line/space patterns (32p) placed directly over 1200 native defects found during 193-nm blank inspection. A blank defect was identified to be printable if the measured-to-nominal CD difference exceeded the 3σ CD uniformity at best dose and focus. Figure 2(a) shows a representative mask scanning electron microscopy (SEM) image of a contact array patterned over a native defect, along with the corresponding wafer SEM, measuring a 20% reduction in contact CD as compared with reference. Figure 2(b) shows the line/space array patterned over a native defect, and the corresponding catastrophic impact on wafer imaging. As observed in both cases, the absorber etch chemistry was not selective to the defect, which ultimately allowed the defect to act as an absorber material. Figure 2(c) shows the collective printability data as a function of defect signal contrast. Here, signal contrast represents the amplitude/phase difference between light reflected from the defect and its surrounding background, as measured during 193-nm wavelength blank inspection. The red curve plots the distribution of mask-blank defects as a function of 193-nm inspection signal contrast, while the black curve shows the correlation between defect signal contrast and the probability of that defect signature having an impact on printing. It can be seen that the majority of blank defects show a low signal contrast, but a nonvanishing percentage of those defects (roughly 5% to 20%) impacts wafer printing. Conversely, there exist few blank defects with a high signal contrast; however, these defects are very likely to impact wafer printing. As seen from Fig. 2(c), there is a continual probability for mask-blank defects to impact wafer printing, regardless of its signal contrast. This indicates that the entirety of defects identified by a 193-nm inspection must be taken into account for subsequent defect mitigation strategies, since distinct signal isolation between nuisance and printable defects was not observed. Despite having to account for the high percentages of nuisances at low defect signals, this provides an inherent guard band for the possibility that printable defects are masked by current resist stochastics and could thus mature into a printable defect when improved processes are implemented. The blue crossmarked area in Fig. 2(c) indicates the printable defects found by the 193-nm inspection system that were otherwise invisible to the higher-wavelength inspection systems. Thus, 193-nm blank inspection must be used in order to locate the greatest number of mask-blank defects that could impact wafer imaging.

Fig. 2

Printability of all native defects found by 193-nm blank inspection. (a) SEM of a 256-nm pitch (4×) contact array intentionally placed over native defects, and its corresponding impact on wafer; (b) SEM of a 128-nm pitch (4×) line/space pattern intentionally placed over native defects, and its corresponding impact on wafer; (c) normalized distribution of defects as a function of its signal contrast as measured by a 193-nm blank inspection (red dotted curve), and the probability of having an impact on wafer. Blue crossmarks correspond to printable defects that were not detected during mid-UV inspection.

JM3_15_2_023502_f002.png

It is important to note that while this work provides insight into current optical tool capabilities, it does not address the fact that not all printable defects can be found during 193-nm inspection.9,17 To identify all potential defects buried in the multilayer stack, ABI at 13.5 nm is required. Despite the potential impact on the current conclusions, actinic inspection systems are not considered here as it is uncertain when ABI and actinic pattern mask inspection will reach HVM commercialization and availability. The current conclusions could thus remain relevant until an actinic HVM inspection tool becomes fully commercialized and readily available to be included in the blank/mask shop infrastructure.

3.

Defect Avoidance

After blank inspection, opportunities exist for avoiding pattern placement directly over defect locations via shifting the design pattern relative to the blank (i.e., pattern shift).2730 Using the blank inspection methodology defined in Sec. 2, defects identified using a 193-nm wavelength inspection across 20 EUV blanks were used as input for pattern shift simulations on representative 7-nm node full-field contact and metal mask designs, following prior methodology.31 Figure 3(a) shows the percentage of total blank defects that could be avoided by means of pattern shift as a function of the total blank defect count. Complete defect avoidance cannot be achieved for metal (blue triangle) and contact (green square) layers, despite the existence of blanks with less than 100 native defects. However, a high percentage of defects could be avoided by means of pattern shift when the design contained only the active pattern (i.e., designs fractured without dummy fill patterns). Figure 3(b) shows that in order to achieve five or less exposed defects (by utilizing both pattern shift and layers fractured without fill), the top 35% of blanks remain suitable for metal layers, while a majority of blanks could be used for contact layers, attributed to the relatively lower pattern density.

Fig. 3

Results of defect avoidance via pattern shift on blank defects found during 193-nm inspection: (a) percentage of avoidable defects as a function of blank defects found during 193-nm inspection for 20 blanks. A representative 7-nm node contact and metal layer was used for simulations, fractured both with and without dummy fill patterns. (b) Average number of defects exposed postpattern shift as a function of blank quality.

JM3_15_2_023502_f003.png

4.

Amplitude and Phase Repair

A robust repair solution is needed to address defects that remain after pattern shift implementation or to process defects generated during fabrication. Recent advancements in e-beam-based absorber repair and compensation methods have demonstrated selective material removal with 10-nm resolution.32 Based on gas-assisted electron beam etching, Fig. 4 shows SEMs of process defects before and after selective absorber removal. Xenon difluoride is used as a precursor to the beam-induced reactions used to selectively etch the Ta-based absorber. A 1-keV acceleration voltage is used to create a primary beam spot size of 1  nm to interact with the XeF2 precursor, while backscattering electrons will attribute to a greater effective spot size of 20  nm.32 The repaired sites were intentionally biased larger than the target CD to compensate for any potential reflectivity loss due to absorber remnants from the process. The postrepair sites were exposed on a 0.33 NA scanner using quasar illumination at best dose/focus, and the corresponding repair shown in Fig. 4(a) indicates appropriate absorber biasing, as the measured line CD falls within the process noise. However, wafer CD measurements on the repairs shown in Figs. 4(b) and 4(c) showed overcompensation of the absorber, leading to a 25% [Fig. 4(b)] and 15% [Fig. 4(c)] CD reduction in the printed resist. The results here validate the methodology of e-beam-based selective absorber compensation, however, also motivate the need for simulation-guided absorber biasing to account for possible over- or under-compensation.33,34

Fig. 4

Three examples of absorber repair via gas-assisted e-beam etching (a)–(c). Before and after mask SEMs of the repair site and subsequent wafer validation are shown, respectively, from left to right.

JM3_15_2_023502_f004.png

Of a greater challenge remains the multilayer and phase defects that necessitate repair. Recent work has shown that a large subset (40%) of native blank defects identified by a 193-nm blank inspection system was found to be defects logged in the multilayer surface,28 also seen in Figs. 2(a) and 2(b), indicating repair techniques beyond absorber-only compensation may be necessitated. Furthermore, phase offsets caused by coherent disruptions in the multilayer originating from buried defects present additional repair challenges for absorber-only compensation, as absorber biasing alone cannot address the through focus asymmetry caused by phase defects. Nanomachining was introduced to address buried defects and attempts to correct for the phase effect by judicious removal of multilayer material,18 in which simulations have shown to compensate for the through focus asymmetry and restore flat Bossung curves.19 By means of mechanical excavation using a diamond-coated atomic force microscopy (AFM) tip, the indiscriminate removal of materials allows for multilayer modification and the possibility to compensate any phase effects. Furthermore, defects lodged in the multilayer surface and unaffected by absorber etchant [Figs. 2(a) and 2(b)] could be removed using the mechanical nanomachining process. To assess the viability of nanomachining, 140-nm contact arrays were intentionally patterned over native defects identified to be buried in the multilayer surface. Figure 5 shows the native defects before and after micromachining repair. From the SEM and AFM profiles, the defects are visible in both the exposed multilayer contact area [Figs. 5(a)5(c)] and its surrounding absorber [Fig. 5(a)]. Nanomachining was used for the removal the buried defect by targeting an excavation depth of 30 to 60 nm, in addition to a 10- to 20-nm lateral edge absorber bias in attempts to compensate for the loss of reflectivity from the removed multilayers [Fig. 6(d)]. Since an AFM tip is used to continuously exfoliate the target surface, this can lead to the unwanted buildup of material at the site’s perimeter even after a clean process, as seen in the postrepair SEMs and AFMs [Figs. 5(a)5(c)]. To obtain a more uniform profile, permutations of multilayer nanomachining and electron beam absorber etching could be utilized.

Fig. 5

Three examples (a)–(c) of before and after SEMs/AFMs of native defect repair via micromachining.

JM3_15_2_023502_f005.png

Fig. 6

(a)–(c) Wafer SEMs of native defects shown in Fig. 5 before repair and corresponding aerial image measurements postrepair; (d) measured aerial CD shown in the red-highlighted bar, with the clear dotted red bar indicating the reference CD. Blue-highlighted bar indicates the amount of multilayer material removed (Z depth), while the yellow-highlighted bar indicates the amount of positive CD bias used during repair.

JM3_15_2_023502_f006.png

In order to quantify the effects of nanomachining, EUV exposures before and after repair were performed. Defect sites prerepair [Figs. 5(a)5(c), left] was exposed on a 0.33 NA EUV scanner with quasar illumination at best dose and focus. Figures 6(a)6(c), left, show the catastrophic impact on wafer imaging, as the affected contacts were completely absent from the printed array. After nanomachining repair [Figs. 5(a)5(c), right], the mask was imaged using an EUV microscope35 with quasar illumination at 0.33 NA. Figures 6(a)6(c), right, show the aerial image of the defect sites postnanomachining, revealing a recovery of imaging contrast. The measured aerial CD from the postrepaired contacts was found to be 40% to 70% of the reference CD, depending on the combination of etch depth and absorber bias used [Fig. 6(d)]. It should be noted that the repair shown in Fig. 5(c) achieved the closest to target CD, which utilized the greatest absorber bias and minimum depth removal as compared with other repairs [Fig. 6(d)]. Despite promising results, additional experimental studies, coupled with rigorous simulation, are necessary to optimize the repair parameters for achieving full CD recovery and also account for the potential use of a customized freeform illumination.

5.

Conclusions

Defect-free fabrication of EUV masks relies on the appropriate detection of native defects and subsequent strategies for their elimination. The absence of a fully commercialized, readily available, HVM actinic mask-blank inspection system motivates the identification of an optical-based inspection methodology most suitable for finding relevant EUV blank defects. It was shown that 193-nm wavelength inspection found the greatest number of printable defects as compared with rival higher wavelength systems, establishing DUV inspection as the blank defectivity baseline for subsequent mitigation strategies. Next, defect avoidance via pattern shifting was explored using representative 7-nm node metal/contact layer designs and 193-nm mask-blank inspection results. It was found that a significant percentage of native defects could be avoided only when the design was limited to active patterns (i.e., layouts without dummy fill). Total pattern–defect overlap remained 5 when metal–layer blanks were chosen from the top 35% least defective substrates, while the majority of blanks remained suitable for contacts layers, attributed to the lower active pattern density. Finally, nanomachining was used to address any remaining native/multilayer defects. The experimental results of native defect repair via nanomachining showed consistent improvements in printability for all repaired sites as compared with the prior untreated condition. Native catastrophic defects were shown to recover 40% to 70% of target CD after nanomachining, demonstrating the enormous potential for compensating multilayer defects, but also highlights the needed attention on developing a robust repair methodology to allow HVM construction of a complete defect-free mask set.

Acknowledgments

The authors thank the GLOBALFOUNDRIES mask manufacturing and engineering teams at GLOBALFOUNDRIES and Toppan for supporting the experiments identified in this project, the IBM research team for aiding in lithographic imaging, the SEMATECH/LBNL SHARP, and finally the GLOBALFOUNDRIES and Toppan management teams for their guidance and support. SHARP work was performed under the auspices of the U.S. Department of Energy by the University of California Lawrence Berkeley National Laboratory under management and operating contract DE-AC02-05CH11231.

References

1. 

M. Ieong et al., “Silicon device scaling to the sub-10-nm regime,” Science, 306 (5704), 2057 –2060 (2004). http://dx.doi.org/10.1126/science.1100731 SCIEAS 0036-8075 Google Scholar

2. 

A. Pirati et al., “Performance overview and outlook of EUV lithography systems,” Proc. SPIE, 9422 94221P (2015). http://dx.doi.org/10.1117/12.2085912 PSISDG 0277-786X Google Scholar

3. 

V. Bakshi, EUV Lithography, SPIE Press, Bellingham, Washington (2009). Google Scholar

4. 

E. Gallagher, M. Hibbs, “Masks for micro- and nanolithography,” Nanolithography: The Art of Fabricating Nanoelectronic and Nanophotonic Devices and Systems, 158 –178 Woodhead Publishing Limited, Sawston, Cambridge (2014). Google Scholar

5. 

S. Huh et al., “Study of real defects on EUV blanks and a strategy for EUV mask inspection,” Proc. SPIE, 7545 75450N (2010). http://dx.doi.org/10.1117/12.863559 PSISDG 0277-786X Google Scholar

6. 

E. Gallagher et al., “Learning from native defects on EUV mask blanks,” Proc. SPIE, 9256 92560K (2014). http://dx.doi.org/10.1117/12.2070871 PSISDG 0277-786X Google Scholar

7. 

P. Mangat et al., “Mask blank defect printability comparison using optical and SEM mask and wafer inspection and bright field actinic mask imaging,” Proc. SPIE, 9658 96580E (2015). http://dx.doi.org/10.1117/12.2201048 PSISDG 0277-786X Google Scholar

8. 

J. Rankin et al., “EUV photomask defects: what prints, what doesn’t, and what is required for HVM,” Proc. SPIE, 9635 96350L (2015). http://dx.doi.org/10.1117/12.2197476 PSISDG 0277-786X Google Scholar

9. 

K. Seki et al., “ENDEAVOUR to understand EUV buried defect printability,” Proc. SPIE, 9658 96580G (2015). http://dx.doi.org/10.1117/12.2197763 PSISDG 0277-786X Google Scholar

10. 

N. Takagi et al., “EUV scanner printability evaluation of natural blank defects detected by actinic blank inspection,” Proc. SPIE, 9658 96580F (2015). http://dx.doi.org/10.1117/12.2197622 PSISDG 0277-786X Google Scholar

11. 

A. Kadaksham et al., “Preparation of substrates for EUV blanks using an etch clean process to meet HVM challenges,” Proc. SPIE, 8522 852216 (2012). http://dx.doi.org/10.1117/12.976980 PSISDG 0277-786X Google Scholar

12. 

A. O. Antohe et al., “SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges,” Proc. SPIE, 9422 94221B (2015). http://dx.doi.org/10.1117/12.2176126 PSISDG 0277-786X Google Scholar

13. 

P.-C. Hsu et al., “Printability of buried mask defects in extreme-UV lithography,” Proc. SPIE, 7969 79691D (2011). http://dx.doi.org/10.1117/12.881583 PSISDG 0277-786X Google Scholar

14. 

K. D. Badger et al., “Illuminating extreme ultraviolet lithography mask defect printability,” J. Micro/Nanolithogr., MEMS, MOEMS, 12 (2), 021004 (2013). http://dx.doi.org/10.1117/1.JMM.12.2.021004 Google Scholar

15. 

K. D. Badger et al., “Illuminating EUVL mask defect printability,” Proc. SPIE, 8522 85220I (2012). http://dx.doi.org/10.1117/12.977853 PSISDG 0277-786X Google Scholar

16. 

H. J. Kwon et al., “Printability of native blank defects and programmed defects and their stack structures,” Proc. SPIE, 8166 81660H (2011). http://dx.doi.org/10.1117/12.897165 PSISDG 0277-786X Google Scholar

17. 

K. Seki et al., “Printability of buried extreme ultraviolet lithography photomask defects,” J. Micro/Nanolithogr., MEMS, MOEMS, 15 (2), 021004 (2016). http://dx.doi.org/10.1117/1.JMM.15.2.021004 Google Scholar

18. 

E. E. Gallagher et al., “EUVL mask repair: expanding options with nanomachining,” Proc. SPIE, 8522 85221L (2012). http://dx.doi.org/10.1117/12.974749 PSISDG 0277-786X Google Scholar

19. 

G. McIntyre et al., “Through-focus EUV multilayer defect repair with nanomachining,” Proc. SPIE, 8679 86791I (2013). http://dx.doi.org/10.1117/12.2014935 PSISDG 0277-786X Google Scholar

20. 

T. Murachi et al., “Effectiveness of extreme ultraviolet actinic blank inspection prototype for 16-nm half-pitch for mitigation of multilayered defects,” J. Micro/Nanolithogr., MEMS, MOEMS, 13 (1), 013011 (2014). http://dx.doi.org/10.1117/1.JMM.13.1.013011 Google Scholar

21. 

N. Takagi et al., “EUV scanner printability evaluation of natural blank defects detected by actinic blank inspection,” Proc. SPIE, 9658 96580F (2015). http://dx.doi.org/10.1117/12.2197622 PSISDG 0277-786X Google Scholar

22. 

A. Tchikoulaeva et al., “EUV actinic blank inspection: from prototype to production,” Proc. SPIE, 8679 86790I (2013). http://dx.doi.org/10.1117/12.2011776 PSISDG 0277-786X Google Scholar

23. 

S. Stokowski et al., “Inspecting EUV mask blanks with a 193nm system,” Proc. SPIE, 7636 76360Z (2010). http://dx.doi.org/10.1117/12.850825 PSISDG 0277-786X Google Scholar

24. 

M. R. Weiss et al., “Actinic review of EUV masks: status and recent results of the AIMS EUV system,” Proc. SPIE, 9422 942219 (2015). http://dx.doi.org/10.1117/12.2086265 PSISDG 0277-786X Google Scholar

25. 

G. M. Gallatin et al., “Resolution, LER, and sensitivity limitations of photoresists,” Proc. SPIE, 6921 69211E (2008). http://dx.doi.org/10.1117/12.772763 PSISDG 0277-786X Google Scholar

26. 

V. Singh et al., “Novel non-chemically amplified (n-CARs) negative resists for EUVL,” Proc. SPIE, 9051 905106 (2014). http://dx.doi.org/10.1117/12.2041183 PSISDG 0277-786X Google Scholar

27. 

J. Burns and M. Abbas, “EUV mask defect mitigation through pattern placement,” Proc. SPIE, 7823 782340 (2012). http://dx.doi.org/10.1117/12.865160 PSISDG 0277-786X Google Scholar

28. 

Z. J. Qi et al., “Viability of pattern shift for defect-free EUV photomasks at the 7nm node,” Proc. SPIE, 9635 96350N (2015). http://dx.doi.org/10.1117/12.2197922 PSISDG 0277-786X Google Scholar

29. 

Y. Negishi et al., “Using pattern shift to avoid blank defects during EUVL mask fabrication,” Proc. SPIE, 8701 870112 (2013). http://dx.doi.org/10.1117/12.2030765 PSISDG 0277-786X Google Scholar

30. 

A. Wagner et al., “Mitigation of extreme ultraviolet mask defects by pattern shifting: method and statistics,” J. Vac. Sci. Technol. B, 30 (5), 051605 (2012). http://dx.doi.org/10.1116/1.4751280 JVTBD9 1071-1023 Google Scholar

31. 

Z. J. Qi et al., “Viability of pattern shift for defect-free extreme ultraviolet lithography photomasks,” J. Micro/Nanolithogr., MEMS, MOEMS, 15 (2), 021005 (2016). http://dx.doi.org/10.1117/1.JMM.15.2.021005 Google Scholar

32. 

K. Edinger et al., “Photomask repair using low-energetic electrons,” Proc. SPIE, 9635 96351P (2015). http://dx.doi.org/10.1117/12.2207755 PSISDG 0277-786X Google Scholar

33. 

M. Upadhyaya et al., “Evaluating printability of buried native extreme ultraviolet mask phase defects through a modeling and simulation approach,” J. Micro/Nanolithogr., MEMS, MOEMS, 14 (2), 023505 (2015). http://dx.doi.org/10.1117/1.JMM.14.2.023505 Google Scholar

34. 

Y. Li et al., “Advancement of fast EUV lithography modeling/simulations and applications on evaluating different repair options for EUV mask multilayer defect,” Proc. SPIE, 8880 88802G (2013). http://dx.doi.org/10.1117/12.2031092 PSISDG 0277-786X Google Scholar

35. 

K. A. Goldberg et al., “The SEMATECH high-NA actinic reticle review project (SHARP) EUV mask-imaging microscope,” Proc. SPIE, 8880 88800T (2013). http://dx.doi.org/10.1117/12.2026496 PSISDG 0277-786X Google Scholar

Biography

Zhengqing John Qi is a principal engineer in the Technology Research Group at GLOBALFOUNDRIES, focusing on advanced lithography R&D. He received his doctorate in physics from the University of Pennsylvania in 2014 and has authored over 20 technical papers in the fields of nanoelectronics, nanofabrication, and advanced lithography.

Jed H. Rankin is a distinguished member of technical staff and currently leads the EUV mask development effort at GLOBALFOUNDRIES. He joined IBM in 1995 with his BS degree in chemical engineering from Clarkson University and became part of the GLOBALFOUNDRIES team in 2015. He has held positions in RIE development, lithography, characterization, and reverse engineering before joining the mask development facility in 2003. He has authored over 15 papers and holds over 175 patents.

Mark Lawliss is a senior member of technical staff at GLOBALFOUNDRIES. He leads the photomask repair effort for both optical and EUV masks at the Burlington, Vermont, Mask House site. He received his BS degree in both electrical engineering and engineering management from the University of Vermont in 1985.

Karen D. Badger is a senior member of technical staff at GLOBALFOUNDRIES with more than 37 years of experience in mask inspection. She is responsible for the development of advanced optical and EUV mask inspection methods and supports mask inspection manufacturing operations at the GLOBALFOUNDRIES Mask House in Essex Junction, Vermont. She received her BS degree in scientific mathematics from St. Michael’s College in 1994. In addition, she has authored 20 technical papers and holds 14 patents.

Christina Turley works as an EUV development engineer at GLOBALFOUNDRIES. She joined IBM in 2012 with her MS degree in chemistry from the University of Oregon and her BS degree in materials engineering from Cal Poly. She transitioned to the GLOBALFOUNDRIES team in 2015 and continues to support mask development. She has authored four papers covering mask topics from EUV to ESD.

© 2016 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2016/$25.00 © 2016 SPIE
Zhengqing John Qi, Jed H. Rankin, Mark Lawliss, Karen D. Badger, and Christina Turley "Toward defect-free fabrication of extreme ultraviolet photomasks," Journal of Micro/Nanolithography, MEMS, and MOEMS 15(2), 023502 (12 April 2016). https://doi.org/10.1117/1.JMM.15.2.023502
Published: 12 April 2016
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Inspection

Extreme ultraviolet

Photomasks

Semiconducting wafers

Extreme ultraviolet lithography

Metals

Multilayers


CHORUS Article. This article was made freely available starting 12 April 2017

RELATED CONTENT

Integration of an EUV metal layer a 20 14nm...
Proceedings of SPIE (April 17 2014)
EUV photomask defects what prints, what doesn't, and what...
Proceedings of SPIE (October 23 2015)
EUVL reticle defectivity evaluation
Proceedings of SPIE (March 17 2009)
Study of EUV mask e-beam inspection conditions for HVM
Proceedings of SPIE (January 24 2012)
EUV mask fabrication with Cr absorber
Proceedings of SPIE (July 21 2000)

Back to Top