Paper
6 April 2015 SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges
Author Affiliations +
Abstract
Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Alin O. Antohe, Dave Balachandran, Long He, Patrick Kearney, Anil Karumuri, Frank Goodwin, and Kevin Cummings "SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges", Proc. SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, 94221B (6 April 2015); https://doi.org/10.1117/12.2176126
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Inspection

Extreme ultraviolet lithography

Silica

Deposition processes

Manufacturing

RELATED CONTENT

Production of EUV mask blanks with low killer defects
Proceedings of SPIE (April 17 2014)
EUV capping layer integrity
Proceedings of SPIE (June 12 2018)
SEMATECH EUVL mask program status
Proceedings of SPIE (May 11 2009)
EUVL mask repair: expanding options with nanomachining
Proceedings of SPIE (November 08 2012)
EUV mask defects and their removal
Proceedings of SPIE (April 16 2012)
Electron beam inspection of 16nm HP node EUV masks
Proceedings of SPIE (November 08 2012)

Back to Top