Presentation
16 October 2019 High-NA EUV Lithography exposure tool: program progress and mask impact (Conference Presentation)
Author Affiliations +
Abstract
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics concerning the interaction of the High-NA optics and its associated different magnifications on requirements for the mask, in particular defectivity, flatness, substrate thermal properties, novel absorbers, need for assist features, pellicle and stitching.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jan Van Schoot, Eelco van Setten, Kars Troost, Sjoerd Lok, Judon Stoeldraijer, Rudy Peeters, Jos Benschop, Joerg Zimmermann, Paul Graeupner, Peter Kuerz, and Winfried Kaiser "High-NA EUV Lithography exposure tool: program progress and mask impact (Conference Presentation)", Proc. SPIE 11147, International Conference on Extreme Ultraviolet Lithography 2019, 1114710 (16 October 2019); https://doi.org/10.1117/12.2538325
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet lithography

Photomasks

High volume manufacturing

Lens design

Pellicles

Scanners

Sensors

Back to Top