Paper
30 April 2023 Advanced development methods for high-NA EUV lithography
Cong Que Dinh, Seiji Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, Seiji Fujimoto, Shinichiro Kawakami, Satoru Shimura, Makoto Muramatsu, Kayoko Cho, Xiang Liu, Kathleen Nafus, Michael Carcasi, Ankur Agarwal, Mark Somervell, Lior Huli, Kanzo Kato, Michael Kocsis, Peter De Schepper, Stephen Meyers, Lauren McQuade, Kazuki Kasahara, Jara Garcia Santaclara, Rik Hoefnagels, Chris Anderson, Patrick Naulleau
Author Affiliations +
Abstract
One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Cong Que Dinh, Seiji Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, Seiji Fujimoto, Shinichiro Kawakami, Satoru Shimura, Makoto Muramatsu, Kayoko Cho, Xiang Liu, Kathleen Nafus, Michael Carcasi, Ankur Agarwal, Mark Somervell, Lior Huli, Kanzo Kato, Michael Kocsis, Peter De Schepper, Stephen Meyers, Lauren McQuade, Kazuki Kasahara, Jara Garcia Santaclara, Rik Hoefnagels, Chris Anderson, and Patrick Naulleau "Advanced development methods for high-NA EUV lithography", Proc. SPIE 12498, Advances in Patterning Materials and Processes XL, 1249806 (30 April 2023); https://doi.org/10.1117/12.2655928
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Line width roughness

Line edge roughness

Lithography

Etching

Back to Top