Paper
17 October 2008 Mask process monitoring with optical CD measurements for sub-50-nm
Kyung-Yoon Bang, Jin-Back Park, Jeong-Hun Roh, Dong-Hoon Chung, Sung-Yong Cho, Yong-Hoon Kim, Sang-Gyun Woo, Han-Ku Cho
Author Affiliations +
Abstract
Process control of line width and etch depth on the photomask production is more important as the industry moves toward 50nm node and beyond. In this paper, we report the ellipsometer-based scatterometry based metrology system that provides line width and resist thickness measurements on sub 50 nm node test masks for a mask process monitoring. Measurements were made with spectroscopic rotating compensator ellipsometer system. For analysis we made up modeling libraries with a 200 nm half pitch and checked and applied them to ADI and ACI measurements of binary and phase shift mask (PSM). We characterized the CD uniformity, linearity, thickness uniformity. Results show that linearity measured from fixed-pitch, varying line/space ratio targets show good correlation to top-down CD-SEM with R2 of more than 0.99. Resist thickness results show that depth bias is about 2nm between AFM and OCD in ADI step. The data show that optical CD measurements provide a nondestructive way to monitor mask processes with relatively little time loss from measurement step.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kyung-Yoon Bang, Jin-Back Park, Jeong-Hun Roh, Dong-Hoon Chung, Sung-Yong Cho, Yong-Hoon Kim, Sang-Gyun Woo, and Han-Ku Cho "Mask process monitoring with optical CD measurements for sub-50-nm", Proc. SPIE 7122, Photomask Technology 2008, 71222V (17 October 2008); https://doi.org/10.1117/12.801416
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Chromium

Scatterometry

Ultraviolet radiation

Scanning electron microscopy

Optical testing

Atomic force microscopy

Back to Top