Open Access
10 April 2021 Optical constants of beryllium thin layers determined from Mo/Be multilayers in spectral range 90 to 134 eV
Mewael Giday Sertsu, Andrey A. Sokolov, Nikolay I. Chkhalo, Vladimir N. Polkovnikov, Nikolay N. Salashchenko, Mikhail V. Svechnikov, Franz Schäfers
Author Affiliations +
Abstract

Mo/Be multilayers are promising optical elements for extreme ultraviolet (EUV) lithography and space optics. Experimentally derived optical constants are necessary for accurate and reliable design of beryllium-containing optical coatings. We report optical constants of beryllium derived from synchrotron radiation-based reflectivity data of Mo/Be multilayers. Results are in good agreement with available data in the literature obtained from the well-known absorption measurements of beryllium thin films or foils. We demonstrate synchrotron based at-wavelength reflectometry as an accurate and non-destructive technique for deriving EUV optical constants for materials that are difficult or unstable to make thin foils for absorption measurements.

1.

Introduction

Beryllium is an essential material for varieties of scientific and industrial applications, due to its unique physical and optical properties.1,2 Beryllium in the form of oxide ceramics, metallic alloys, and salts is used in various industrial sectors.3 The fact that it has a low mass absorption coefficient in the x-ray range qualifies it for x-ray windows, refractive lenses, and detector windows for synchrotron- and FEL-beamlines.4 Moreover, beryllium has a relatively low absorption near its K-edge compared to other lightweight materials such as Si, B4C, and SiC. This low absorption property makes beryllium a promising candidate material for applications in the spectral range 30 to 180 eV, which constitutes extreme ultraviolet (EUV) regime. One application in this range for beryllium is as a bandpass filter in EUV astronomy instruments,5 the low absorption around 13.4 nm (92.5  eV) makes beryllium an attractive material in the technological development of EUV lithography optics. Most of the benefits of beryllium in the EUV range comes from its low absorptive nature. The absorption coefficients in the EUV range is indeed lower than other traditional low-Z materials such as B4C, SiC, and Si as shown in Fig. 1(a). The dispersive component of the refractive index of beryllium is also comparable as given in Fig. 1(b).

Fig. 1

Optical constants of bulk beryllium in comparison to the commonly used low-Z materials B4C, SiC, and Si. (a) Absorption constants and (b) refractive optical constants.6

OE_60_4_044103_f001.png

Calculations of certain beryllium-containing new multilayer designs, using optical constants of beryllium from the Henke table 7 achieve reflectivity higher than 70% in the 11- to 13.4-nm spectral range.7 This range is of high interest for EUV lithography applications.8,9 Beryllium-containing multilayers also demonstrate high reflectivity at 17.1- and 30.4-nm wavelengths that are of interest for the optical engineering of solar mission satellites.10 It is, therefore, indispensable to study optical constants of beryllium in the wider range of EUV targeting various applications. However, it is particularly important to study optical constants near its K edge (11.09  nm or 111.7  eV) where optical responses show abrupt changes due to extremely sensitive interactions of fine structures with neighboring absorbing atoms. It is convenient to discuss optical constants in EUV and x-ray spectra in terms of δ and β to which the refractive index n is related as

n=1δ±iβ,
where 1β is the real part (i.e., dispersive) and β is the imaginary (absorptive) part of the refractive index. Apart from the reports in Ref. 6 and in our recent work,11 measured optical constants of beryllium are limited in the literature. We focus on the measurement of optical constants near the beryllium absorption edge, where one can expect that experimentally derived optical constants to show strongest deviation from the calculated constants based on atomic scattering factors from Henke tables.

In this context, a combined analysis of x-ray and EUV reflectometry measurements has been reported in recent years. Reconstruction of optical and interface parameters of Mo/Si and B4C/CeO2 MLs from grazing incidence EUV reflectivity measurements using thicknesses from independent XRR data analysis are reported in Refs. 1214. Simultaneous analysis of normal incidence EUV reflectivity and XRR data were reported in Refs. 1517 for the characterization of La/B multilayers. Similar methodologies but technically improved are applied in this work to derive optical constants of beryllium around its K-edge with high accuracy and reliability. The XRR measurements at Cu-Kα (8  keV) enables determination of ML thicknesses (period, layer, and interlayer thicknesses) with high spatial resolution. The EUV measurements determine optical constants with high sensitivity to optical fluctuations.

In this paper, at-wavelength grazing incidence EUV reflectivity measurements are performed to derive optical constants of beryllium. The method takes into consideration the sensitivity of optical constants to the configuration of an atom in its environment (i.e., resolution of fine structures).12 The method is further optimized by taking high-resolution energy measurements to account for abrupt changes in optical responses especially near the beryllium K edge. Wide-angle reflectivity measurements are carried out to collect at least two Bragg peaks that allow contributions of all layers and interlayers in the ML stack to be determined. By choosing a robust numerical algorithm to fit the measured data [genetic algorithm (GA) in this case], the combined analysis results in accurate and reliable optical constants. To our knowledge, this method is the only alternative to derive EUV and soft x-ray optical constants from materials that are difficult to produce as freestanding foils (e.g., Mg), which are important for space mission optics.

2.

Sample Description, Experiments, and Data Analysis

As explained in the introduction, experiments were designed in such a way that structural and optical parameters of MLs are derived with adequate reliability and accuracy. Two different multilayer structures were designed and fabricated on Si-substrates using DC magnetron sputtering facilities that are certified according to health and safety standards10 and pumped out to a residual pressure of 4×105  Pa. The working pressure of Argon (Ar) during the deposition was about 0.1 Pa, and the chemical purity of Ar was about 99.99%. The target materials were disks of diameter 150 mm and thickness 5 mm. The process utilized 270 W of power for the Be target, 160 W for the Mo target, and 150 W for the Si target.

The first sample (sample_01) is a tri-layer structure of [Mo/Be/Si] on Si substrate with design period d7  nm (dSi=2.6  nm, dBe=2  nm, and dMo=2.4  nm) and number of tri-layers N=110. Sample_01 was designed to demonstrate high reflectivity performance at the wavelength of interest for EUV lithography, i.e., around 13.5 nm. Introducing Si into the [Mo/Be] MLM design plays a smoothing effect of interfaces and thus enhancing reflectivity.6

The second sample (sample_02) was [Mo/Be] on Si substrate with design parameters of period d=14  nm, Γ=0.36, dMo=5.04  nm, dBe=8.96  nm, and N  =25. The Γ-ratio is a term defined as a quotient of thickness of the more absorbing layer by virtue of its mass (hence absorber layer) to period. In [Mo/Be] ML combination, Mo with mass density of 10.28  gm/cm3 is an absorber layer. Sample_02 is designed to allow at-wavelength EUV Reflectivity (EUVR) measurements with the intention of obtaining at least one Bragg peak in a θ to 2θ scan. Since the purpose of sample_01 is just to test EUV-reflectivity performance, only spectral measurements were performed around the working wavelength in normal incidence. On the other hand, sample_02 was measured using at-wavelength grazing incidence EUV reflectivity from 90 to 134 eV with an energy step Estep=0.5  eV in the 90 to 110 eV range, 0.2 eV in the 110 to 116 eV range around the K-edge of beryllium, and 1 eV in 116 to 134 eV range. All EUV measurements for both samples were performed in the reflectometer end station of the optics beamline at the BESSY-II synchrotron radiation source at an energy resolution in the order of few meV.18,19 In addition, complementary x-ray reflectivity (XRR) measurements at a photon energy of 8.048 keV (Cu Kα) were performed using a Philips X’Pert Pro diffractometer system, with a high-resolution asymmetric four-crystal Ge (220) monochromator, at the Institute for Physics of Microstructures of Russian Academy of Sciences (IPM-RAS).

Reconstruction of ML parameters from both XRR and EUVR data was performed with the help of IMD program (modeling and analysis of multilayer films) where details of the mathematical formulations are provided in Ref. 20. Computations of optical functions (reflection and transmission) of multilayer films in IMD is a three-step process. First, reflections/transmissions are calculated using Fresnel equations at each optical interfaces. Then computation of electromagnetic plane waves in each layer by solving Maxwell’s equations. Finally, using a recursive approach to compute the net field amplitude throughout the stack, starting at the bottom-most layer.

The numerical fitting in IMD benefits from robust mathematical algorithms. GA and a more complex variant known by differential evolution (DE), which were included in the version 5 of IMD package, are used for the fittings in this report. GA is considered as a global optimization algorithm as it is generally less sensitive to the choice of initial parameters, less susceptible to local minima, and undergoes stochastic search of global minima in a parameter space with an intelligent strategy of solution finding.21,22 A nonlinear curve fitting of the measured reflectivity data against a goodness of fit parameter chi-square (χ2) similar to the Pearson’s criterion20 retrieves almost any parameter of the ML. However, a realistic ML modeling is required to perform the nonlinear fitting. Thus, the ML structure of sample_02 is modeled in a four-layer system (i.e., layer1+interlayer_1+layer2+interlayer_2) to account inter-diffusion regions as independent layers as witnessed by the high resolution TEM image (Fig. 2) of a similar sample that was deposited in the same facility with very similar deposition conditions as sample_02. The TEM image provides qualitative confirmation for the formation of interlayers due diffusions and substantiate the adoption of the four-layer model. The fitting is a two-step process. First, thicknesses and roughness are derived from x-ray measurement at 8.048 keV (0.15 nm) benefiting from high spatial depth resolution. Results from the XRR fitting are transferred to the second step fitting in EUV to retrieve optical constants (δ and β) of each layer and interlayer. In the four-layer model, fixing the thicknesses and roughness lowers to at least eight input parameters, δ and β of each layers and interlayers, excluding the surface and substrate parameters.

Fig. 2

High-resolution bright field transmission electron microscopy image of Mo/Be sample to demonstrate formation of interlayers at interfaces. The ML sample was deposited in the same sputtering machine under similar deposition conditions to the samples discussed in this work.

OE_60_4_044103_f002.png

3.

Results and Discussion

High measured reflectivity performance of 70.42% at 13.42-nm EUV wavelength in normal incidence is achieved by the tri-layer structure of sample_01 as shown in Fig. 3(a). Figure 3(b) shows angular measurements of that sample at a wavelength of 13.37 nm. Average thicknesses of dSi=3.09  nm, dBe=1.07  nm, dMo=2.70  nm are obtained from x-ray reflectometry (XRR) data analysis. The incorporation of Si layers into the Mo/Be structures enhances performance as intensively reported in Ref. 23.

Fig. 3

Measured reflectivity of the [Mo/Be/Si]×110 multilayer (sample_01) at EUV wavelengths. (a) Spectral dependence in near-normal incidence and (b) angular dependence at 13.37 nm.

OE_60_4_044103_f003.png

A nonlinear fit of the XRR of the four-layer Mo/Be structure (Sample_02) is shown in Fig. 4(a). The model structure and derived layer and interlayer thicknesses are given in Fig. 4(b).

Fig. 4

(a) Measured and fitted XRR for sample_02 and (b) the sketch of the four-layer model implemented for fitting the x-ray data. Bulk densities are used for fitting.

OE_60_4_044103_f004.png

The analysis of the XRR measurement at Cu-Kα line returns a period d=13.8±0.2  nm, dMo=4.66±0.2  nm, and dBe=7.32±0.2  nm. In addition, the fitting resulted in asymmetric thicknesses for the interlayers with dMo-on-Be=0.8±0.2  nm and dBe-on-Mo=0.96±0.2 nm. Optical constants (δ and β) are derived from EUVR measurements performed at each photon energy by adopting the model and thicknesses obtained from the XRR fit, shown in Fig. 4(b). This approach has an advantage in minimizing the number of input parameters. Examples of fits to the measured curves at 99.61 and 121.64 eV are given in Fig. 5.

Fig. 5

EUV measurements and corresponding fits of sample_02 (a) at 99.61 eV and fit, (b) at the 121.64 eV. The layer and interlayer thicknesses are taken from the results of the XRR data in Fig. 4.

OE_60_4_044103_f005.png

In this way, the EUVR fitting solely fits the optical constants (δ and β) by taking into account the thickness parameters adopted from the XRR analysis. This method has two major advantages: in one hand, it minimizes the number of input parameters significantly, second, it increases the accuracy of the analysis due to the high optical sensitivity in this regime of the spectrum. Yakunin et al.24 have already reported the advantage of simultaneous analysis of EUV and x-ray data in driving structural parameters of multilayers, the mass density, and thicknesses. For the derivation of optical constants in EUV, however, systematic analysis as implemented in this work, can give better results. Derived average optical constants of beryllium are summarized in Fig. 6 based on the methodology discussed above. The derived optical constants of beryllium show very good agreement with Soufli et al.6 in the Henke table and to recently published work in Ref. 11.

Fig. 6

Derived optical constants of beryllium in the energy range 90 to 134 eV from [Mo/Be]×25  ML structure (sample_02) by combining at-wavelength reflectometry in x-ray and EUV energy range (circles). Solid lines are reference data from Ref. 7.

OE_60_4_044103_f006.png

4.

Conclusion

We have presented an alternative, non-destructive, realistic, and simpler method for deriving beryllium optical constants by combining XRR and EUVR measurements. The method is suitable for instable or reactive materials, which cannot be produced as thin films for absorption measurements. The method has been tested on Mo/Be multilayers in the range of the Be K-edge at which beryllium has high technological importance for EUV-lithography optics as potential spacer material, since beryllium-containing multilayer coatings show high reflectivity performance. We report reflectivity larger than 70% at 13.4 nm from Mo/Be/Si multilayers. The introduction of beryllium into the Mo/Si structures enhances performance. Optimization of the coating processes in magneton sputtering may boost the reflectivity performance further. The optical constants of beryllium derived by our method demonstrate good agreement with available literature data obtained by absorption measurements. The derived optical constants help for accurate and reliable design of beryllium-containing coatings.

Acknowledgments

We would like to acknowledge Deutsche Forschungsgemeinschaft (DFG) (SO 282 1497/1-1 and the samples fabrication and laboratory structural characterization were carried out in the framework of Russian State Assignment No. 0030-2021-0022.

References

1. 

A. T. Marshall, D. Carde and M. Kent, “Improved vacuum evaporation unit for beryllium coating for biological x-ray-microanalysis,” J. Microsc.-Oxford, 139 335 –337 (1985). https://doi.org/10.1111/j.1365-2818.1985.tb02651.x Google Scholar

2. 

A. T. Marshall and D. Carde, “Beryllium coating for biological x-ray-microanalysis,” J. Microsc.-Oxford, 134 113 –116 (1984). https://doi.org/10.1111/j.1365-2818.1984.tb00509.x Google Scholar

3. 

T. P. Taylor et al., “Beryllium in the environment: a review,” J. Environ. Sci. Health Part A, 38 (2), 439 –469 (2003). https://doi.org/10.1081/ESE-120016906 JESEDU 0360-1226 Google Scholar

4. 

J. J. Truhan and L. M. Wagner, “Fabricating thin beryllium windows for x-ray applications,” Nucl. Instrum. Methods, 176 (3), 481 –485 (1980). https://doi.org/10.1016/0029-554X(80)90373-0 NUIMAL 0029-554X Google Scholar

5. 

B. J. Kent et al., “EUV band-pass filters for the ROSAT wide field camera,” Proc. SPIE, 1344 (1990). https://doi.org/10.1117/12.23254 Google Scholar

6. 

R. Soufli, S. Bajt and E. M. Gullikson, “Optical constants of beryllium from photoabsorption measurements for x-ray optics applications,” Proc. SPIE, 3767 251 –258 (1999). https://doi.org/10.1117/12.371124 PSISDG 0277-786X Google Scholar

7. 

Y. A. Vainer et al., “Beryllium-based multilayer mirrors for the soft x-ray and extreme ultraviolet wavelength ranges,” J. Surf. Investigation, 14 124 –134 (2020). https://doi.org/10.1134/S1027451020020160 JSIXBV 1027-4510 Google Scholar

8. 

S. Bajt, “Molybdenum-ruthenium/beryllium multilayer coatings,” J. Vacuum Sci. Technol. A Films, 18 (2), 557 –559 (2000). https://doi.org/10.1116/1.582224 Google Scholar

9. 

J. A. Folta et al., “Advances in multilayer reflective coatings for extreme ultraviolet lithography,” Proc. SPIE, 3676 702 –709 (1999). https://doi.org/10.1117/12.351156 PSISDG 0277-786X Google Scholar

10. 

N. I. Chkhalo et al., “Be/Al-based multilayer mirrors with improved reflection and spectral selectivity for solar astronomy above 17 nm wavelength,” Thin Solid Films, 631 106 –111 (2017). https://doi.org/10.1016/j.tsf.2017.04.020 THSFAP 0040-6090 Google Scholar

11. 

N. C. M. Svechnikov et al., “Optical constants of sputtered beryllium thin films determined from photoabsorption measurements in the spectral range 20.4–250 eV,” J. Synchrotron. Radiat., 27 (Pt. 1), 75 –82 (2019). https://doi.org/10.1107/S1600577519014188 JSYRES 0909-0495 Google Scholar

12. 

M. G. Sertsu et al., “Analysis of buried interfaces in multilayer mirrors using grazing incidence extreme ultraviolet reflectometry near resonance edges,” Appl. Opt., 54 (35), 10351 –10358 (2015). https://doi.org/10.1364/AO.54.010351 APOPAI 0003-6935 Google Scholar

13. 

M. G. Sertsu et al., “Deposition and characterization of B4C/CeO2 multilayers at 6.×nm extreme ultraviolet wavelengths,” J. Appl. Phys., 119 (9), 095301 (2016). https://doi.org/10.1063/1.4942656 JAPIAU 0021-8979 Google Scholar

14. 

A. Comisso et al., “Characterization of TiO2 thin films in the EUV and soft x-ray region,” Proc. SPIE, 9510 95100Z (2015). https://doi.org/10.1117/12.2178142 PSISDG 0277-786X Google Scholar

15. 

I. A. Makhotkin et al., “Short period La/B and LaN/B multilayer mirrors for similar to 6.8 nm wavelength,” Opt. Express, 21 (24), 29894 –29904 (2013). https://doi.org/10.1364/OE.21.029894 OPEXFF 1094-4087 Google Scholar

16. 

I. A. Makhotkin et al., “Spectral properties of La/B—based multilayer mirrors near the boron K absorption edge,” Opt. Express, 20 (11), 11778 –11786 (2012). https://doi.org/10.1364/OE.20.011778 OPEXFF 1094-4087 Google Scholar

17. 

I. A. Makhotkin et al., “Wavelength selection for multilayer coatings for lithography generation beyond extreme ultraviolet,” J. Micro-Nanolithogr. MEMS MOEMS, 11 (4), 040501 (2012). https://doi.org/10.1117/1.JMM.11.4.040501 Google Scholar

18. 

A. Sokolov et al., “At-wavelength metrology facility for soft x-ray reflection optics,” Rev. Sci. Instrum., 87 (5), 052005 (2016). https://doi.org/10.1063/1.4950731 RSINAK 0034-6748 Google Scholar

19. 

F. Schafers et al., “The at-wavelength metrology facility for UV- and XUV-reflection and diffraction optics at BESSY-II,” J. Synchrotron. Radiat., 23 67 –77 (2016). https://doi.org/10.1107/S1600577515020615 JSYRES 0909-0495 Google Scholar

20. 

D. L. Windt, “IMD—software for modeling the optical properties of multilayer films,” Comput. Phys., 12 (4), 360 –370 (1998). https://doi.org/10.1063/1.168689 CPHYE2 0894-1866 Google Scholar

21. 

P. D. Binda and F. E. Zocchi, “Genetic algorithm optimization of x-ray multilayer coatings,” Proc. SPIE, 5536 97 –108 (2004). https://doi.org/10.1117/12.568104 PSISDG 0277-786X Google Scholar

22. 

A. Ulyanenkov, K. Omote and J. Harada, “The genetic algorithm: refinement of x-ray reflectivity data from multilayers and thin films,” Physica B, 283 (1-3), 237 –241 (2000). https://doi.org/10.1016/S0921-4526(99)01972-9 PHYBE3 0921-4526 Google Scholar

23. 

N. I. Chkhalo et al., “High-reflection Mo/Be/Si multilayers for EUV lithography,” Opt. Lett., 42 (24), 5070 –5073 (2017). https://doi.org/10.1364/OL.42.005070 OPLEDP 0146-9592 Google Scholar

24. 

S. N. Yakunin et al., “Combined EUV reflectance and x-ray reflectivity data analysis of periodic multilayer structures,” Opt. Express, 22 (17), 20076 –20086 (2014). https://doi.org/10.1364/OE.22.020076 OPEXFF 1094-4087 Google Scholar

Biography

Mewael Giday Sertsu is a physicist working as a beamline scientist and postdoc researcher in the Department of Optics and Beamlines at the Helmholtz-Zentrum Berlin (HZB) since 2017. Prior to joining to the HZB, he was a PhD student and Erasmus Mundus scholar at Padova University (Italy) and RWTH Aachen University (Germany). His research interests are in the areas of nanometer optics, EUV and x-ray sciences, EUV metrology, thin film, and multilayer coatings.

Andrey Sokolov is an x-ray optics physicist working in the Department of Optics and Beamlines at BESSY II synchrotron facility of HZB für Materialien und Energie since 2013. His main research area is connected to precision at-wavelength metrology on XUV optics, developments of design and conceptions for new XUV optical elements, and design for XUV spectrometers and beamlines. Previously, he worked at Saint Petersburg State University in Solid State Electronics Department, where he was actively involved in research on investigation of thin layered system internal structure and interlayers by x-ray spectroscopic techniques.

Nikolay Chkhalo is an x-ray optics physicist and technologist. His main research interests are reflective x-ray optics, fabrication and metrology of supersmooth and ultrahigh-precision surfaces for diffraction-limited x-ray and EUV optics, deposition and metrology of thin coatings. Since 2013 he is the head of Multilayer x-ray optics department of IPM RAS.

Vladimir Polkovnikov is an x-ray optics physicist and technologist, senior researcher, and head of laboratory of IPM RAS. He has worked in IPM since 2002, and his works mainly concern the technology of high-vacuum deposition of highly stable, effective and precise x-ray and EUV coatings for optical application, including space telescopes, x-ray microscopy, EUV lithography.

Nikolay Salashchenko is an x-ray optics physicist, who started investigation and development of x-ray coatings in IPM RAS. His main research interests are optimization and deposition of reflective and x-ray optics and free-standing absorption filters, physical processes in ultrathin metal layers, development of technologies for creation x-ray optical elements. He is a corresponding member of the RAS since 2000 and head of the Multilayer X-ray Optics Department of IPM RAS from 1993 to 2013.

Mikhail Svechnikov is a postdoc researcher, working in IPM RAS since 2012, where he obtained a PhD. He is working on x-ray modeling and diagnostics of multilayer structures, and his main research interests are modelling of reflectivity and elastic scattering in non-ideal multilayer films and solution of inverse problem of such modeling.

Franz Schäfers is a senior scientist (physicist) in the Department of Optics and Beamlines at BESSY II of HZB für Materielien und Energie. Some of his research interests include soft x-ray optics and technology, multilayers, reflectometry and hard x-ray photoelectron spectroscopy.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Mewael Giday Sertsu, Andrey A. Sokolov, Nikolay I. Chkhalo, Vladimir N. Polkovnikov, Nikolay N. Salashchenko, Mikhail V. Svechnikov, and Franz Schäfers "Optical constants of beryllium thin layers determined from Mo/Be multilayers in spectral range 90 to 134 eV," Optical Engineering 60(4), 044103 (10 April 2021). https://doi.org/10.1117/1.OE.60.4.044103
Received: 14 October 2020; Accepted: 10 March 2021; Published: 10 April 2021
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Beryllium

Extreme ultraviolet

Reflectivity

Multilayers

Absorption

Silicon

X-rays

Back to Top