Poster + Presentation + Paper
25 May 2022 Approaches to enable patterning of tight pitches towards high NA EUV
Author Affiliations +
Conference Poster
Abstract
Extreme ultraviolet (EUV) lithography has been used for mass production for several years. Now the resolution limit of current 0.33 NA single exposure has been approaching. To enhance the resolution limit, high NA exposure tool has been developing. At the limit, not only the stochastic failures1, but also patterning trade-off has been becoming challenging. In this paper, to overcome the patterning trade-off of LS and CH, several approaches were demonstrated for both CAR and MOR. As for chemically amplified resist (CAR), to overcome the patterning trade-off of line and space, two different approaches were demonstrated. One was a developer rinse process optimization, and the other was a top deposition treatment during etching process. By using the two approaches, pitch 24 nm LS patterns were successfully transferred. As to CAR CH patterning, a new shrink technique during etch process was successfully tested for sub 15 nm hole patterning. No missing hole detected at 12 nm hole size by voltage contrast metrology. For tighter nodes, spin-on metal oxide resist (MOR) have been considering to be used because it offers a series of advantages. It has high sensitivity and resolution because of its high photon absorption and simple reaction mechanism. It also inherently has a higher etch resistance which enables resist thickness thinner and collapse margin higher. Spin-on process of MOR is expect to contribute high productivity which is essential for high volume manufacturing (HVM). Because defect reduction is one of the key points to enable MOR process for HVM, continuous investigation of defect mitigation has been done. For pitch 32 nm LS, the mitigation was confirmed by fine optimization with the combination of the etch process and the implementation of new under layers. As to pitch 28nm line and space, optimized illumination gave better defect process windows. Moreover, a new wet developer process was successfully proposed to prevent pitch 36 nm hexagonal pillars collapse during wet development with 25% higher EUV sensitivity.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroki Tadatomo, Arnaud Dauendorffer, Tomoya Onitsuka, Hisashi Genjima, Yasuyuki Ido, Soichiro Okada, Yuhei Kuwahara, Arisa Hara, Congque Dinh, Seiji Fujimoto, Shinichiro Kawakami, Makoto Muramatsu, Satoru Shimura, Kathleen Nafus, Noriaki Oikawa, Kenta Ono, Yannick Feurprier, Marc Demand, Ainhoa Romo Negreira, Seiji Nagahara, Blanco Victor, Philippe Foubert, and Danilo De Simone "Approaches to enable patterning of tight pitches towards high NA EUV", Proc. SPIE 12056, Advanced Etch Technology and Process Integration for Nanopatterning XI, 120560F (25 May 2022); https://doi.org/10.1117/12.2614012
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Optical lithography

Extreme ultraviolet

Bridges

Extreme ultraviolet lithography

Image processing

Inspection

RELATED CONTENT

Resist process applications to improve EUV patterning
Proceedings of SPIE (April 01 2013)
Optimize of shrink process with X Y CD bias on...
Proceedings of SPIE (March 27 2017)
EUV mask process development and integration
Proceedings of SPIE (May 20 2006)
LWR improvement in EUV resist process
Proceedings of SPIE (April 07 2011)
EUV mask making an approach based on the direct...
Proceedings of SPIE (December 17 2003)

Back to Top