CD and shape control of extreme ultraviolet lithography (EUVL) structures is critical to ensure patterning performance at the 10 nm technology node and beyond. The optimum focus/dose control by EUV scanner is critical for CD uniformity, and the scanner depends on reliable and rapid metrology feedback to maintain control. The latest advances in scatterometry such as ellipsometry (SE), reflectometry (NISR), and Mueller matrix (MM) offers complete pattern profile, critical dimensions (CD), side-wall angles, and dimensional characterization. In this study, we will present the evaluation results of CD uniformity and focus dose sensitivity of line and space EUV structures at the limit of current ASML NXE 3100 scanner printability and complex 3D EUV structures. The results will include static and dynamic precision and CD-SEM correlation data.
Optical critical dimension (OCD) metrology using scatterometry has been demonstrated to be a viable solution for fast and non-destructive in-line process control and monitoring. As extreme ultraviolet lithography (EUVL) is more widely adopted to fabricate smaller and smaller patterns for electronic devices, scatterometry faces new challenges due to several reasons. For 14nm node and beyond, the feature size is nearly an order of magnitude smaller than the shortest wavelength used in scatterometry. In addition, thinner resist layer is used in EUVL compared with conventional lithography, which leads to reduced measurement sensitivity. Despite these difficulties, tolerance has reduced for smaller feature size. In this work we evaluate 3D capability of scatterometry for EUV process using spectroscopic ellipsometry (SE). Three types of structures, contact holes, tip-to-tip, and tip-to-edge, are studied to test CD and end-gap metrology capabilities. The wafer is processed with focus and exposure matrix. Good correlations to CD-SEM results are achieved and good dynamic precision is obtained for all the key parameters. In addition, the fit to process provides an independent method to evaluate data quality from different metrology tools such as OCD and CDSEM. We demonstrate 3D capabilities of scatterometry OCD metrology for EUVL using spectroscopic ellipsometry, which provides valuable in-line metrology for CD and end-gap control in electronic circuit fabrications.
Both 90.9° and 180° phase shifts have been achieved using a new Phase Shift Mask (PSM) structure. This PSM is intended for use as a focus monitor. Both the EUV images of the focus monitor patterns on the new EUV PSM test mask, obtained from the SEMATECH/Berkeley Actinic Inspection Microscope (AIT), and the SEMATECH EUV Micro
Exposure Tool (MET), shows that an alternating PSM EUV mask can be effectively used for EUVL focus monitoring.
KEYWORDS: Semiconducting wafers, Overlay metrology, 3D acquisition, 3D metrology, Finite element methods, Diffraction gratings, Time metrology, Spectroscopy, Reflectance spectroscopy, 3D modeling
Diffraction-based overlay (DBO) technologies have been developed to address the overlay metrology
challenges for 22nm technology node and beyond. Most DBO technologies require specially designed targets that
consist of multiple measurement pads, which consume too much space and increase measurement time. The traditional
empirical approach (eDBO) using normal incidence spectroscopic reflectometry (NISR) relies on linear response of the
reflectance with respect to overlay displacement within a small range. It offers convenience of quick recipe setup since
there is no need to establish a model. However it requires three or four pads per direction (x or y) which adds burden to
throughput and target size. Recent advances in modeling capability and computation power enabled mDBO, which
allows overlay measurement with reduced number of pads, thus reducing measurement time and DBO target space. In
this paper we evaluate the performance of single pad mDBO measurements using two 3D targets that have different
grating shapes: squares in boxes and L-shapes in boxes. Good overlay sensitivities are observed for both targets. The
correlation to programmed shifts and image-based overlay (IBO) is excellent. Despite the difference in shapes, the
mDBO results are comparable for square and L-shape targets. The impact of process variations on overlay measurements
is studied using a focus and exposure matrix (FEM) wafer. Although the FEM wafer has larger process variations, the
correlation of mDBO results with IBO measurements is as good as the normal process wafer. We demonstrate the
feasibility of single pad DBO measurements with faster throughput and smaller target size, which is particularly
important in high volume manufacturing environment.
Resolution enhancement techniques such as double patterning (DP) processes are implemented to achieve
lower critical dimension (CD) control tolerances. However the design complications, overlay resulting
from multiple exposures, and production cost limit the DP usage. EUVL offers the most promising
patterning technology to be adopted for 14nm and beyond due to simplicity and cost advantage estimates.
However, EUVL is also prone to number of patterning challenges that are unique to EUV, such as
orientation dependent pattern placement errors resulting from mask shadowing effect, flare(leads to CD
non-uniformity) and non-flatness (leads to overlay errors). Even though the shadowing effects can be
corrected by means of OPC and mask stack design, there is a need to monitor the systemic errors due to HV
bias in order to control the lithographic process. In this paper, we will report the measurement sensitivity
of EUVL targets (CD, height and sidewall angle), systemic CD errors (H-V bias) and feedback for OPC
correction by scatterometry. We will also report the measurement precision, accuracy and matching for
EUV structures.
Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV)
lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new
non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must
be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work
will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology
nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and
mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and
compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV
because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.
As the dimensions of integrated circuit continue to shrink, diffraction based overlay (DBO) technologies have
been developed to address the tighter overlay control challenges. Previously data of high accuracy and high precision
were reported for litho-etch-litho-etch double patterning (DP) process using normal incidence spectroscopic
reflectometry on specially designed targets composed of 1D gratings in x and y directions. Two measurement methods,
empirical algorithm (eDBO) using four pads per direction (2x4 target) and modeling based algorithm (mDBO) using two
pads per direction (2x2 target) were performed. In this work, we apply DBO techniques to measure overlay errors for a
different DP process, litho-freeze-litho-etch process. We explore the possibility of further reducing number of pads in a
DBO target using mDBO. For standard targets composed of 1D gratings, we reported results for eDBO 2x4 targets,
mDBO 2x2 targets, and mDBO 2x1 target. The results of all three types of targets are comparable in terms of accuracy,
dynamic precision, and TIS. TMU (not including tool matching) is less than 0.1nm. In addition, we investigated the
possibility of measuring overlay with one single pad that contains 2D gratings. We achieved good correlation to blossom
measurements. TMU (not including tool matching) is ~ 0.2nm. To our best knowledge, this is the first time that DBO
results are reported on a single pad. eDBO allows quick recipe setup but takes more space and measurement time.
Although mDBO needs details of optical properties and modeling, it offers smaller total target size and much faster
throughput, which is important in high volume manufacturing environment.
Spacer defined double patterning processes consists of multiple deposition, post strips and etch steps and is
inherently susceptible to the cumulative effects of defects from each process step leading to higher rate of
defect detection. CD distortions and CD non-uniformity leads to DPT overlay errors. This demands
improved critical dimension uniformity (CDU) and overlay control. Scatterometry technique enables the
characterization and control the CD uniformity and provision to monitor stepper and scanner characteristics
such as focus and dose control. While CDSEM is capable of characterizing CD and sidewall angle, is not
adequate to resolve shape variations, such as footing and top rounding and spacers with leaning angles,
during the intermediate process steps. We will characterize direct low temperature oxide deposition on
resist spacer with fewer core films and reduced number of processing and metrology control steps.
Metrology characterization of SADP and resist core transferred spacers at various process steps will be
performed by scatterometry using spectroscopic ellipsometry and reflectometry. We will present CD
distribution (CDU) and profile characterization for core formation, spacer deposition and etch by advanced
optical scatterometry and also validate against CDSEM.
Double patterning technology overlay errors result in critical dimension (CD) distortions, and CD nonuniformity leads to overlay errors, demanding increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are used to characterize the CD uniformity, focus, and dose control. We present CDU and profile characterization for spacer double patterning structures by advanced scatterometry methods. Our results include normal incidence spectroscopic reflectometry (NISR) and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer double patterning stacks. We further show the results of spacer DP structures by NISR and SE measurements. Metrology comparisons at various process steps including litho, etch, and spacer, and validation of CDU and profile, are all benchmarked against traditional critical dimension scanning electron microscope measurements.
Diffraction based overlay (DBO) technologies have been developed to address the tighter overlay control
challenges as the dimensions of integrated circuit continue to shrink. Several studies published recently have
demonstrated that the performance of DBO technologies has the potential to meet the overlay metrology budget for
22nm technology node. However, several hurdles must be cleared before DBO can be used in production. One of the
major hurdles is that most DBO technologies require specially designed targets that consist of multiple measurement
pads, which consume too much space and increase measurement time. A more advanced spectroscopic ellipsometry (SE)
technology-Mueller Matrix SE (MM-SE) is developed to address the challenge. We use a double patterning sample to
demonstrate the potential of MM-SE as a DBO candidate. Sample matrix (the matrix that describes the effects of the
sample on the incident optical beam) obtained from MM-SE contains up to 16 elements. We show that the Mueller
elements from the off-diagonal 2x2 blocks respond to overlay linearly and are zero when overlay errors are absent. This
superior property enables empirical DBO (eDBO) using two pads per direction. Furthermore, the rich information in
Mueller matrix and its direct response to overlay make it feasible to extract overlay errors from only one pad per
direction using modeling approach (mDBO). We here present the Mueller overlay results using both eDBO and mDBO and compare the results with image-based overlay (IBO) and CD-SEM results. We also report the tool induced shifts (TIS) and dynamic repeatability.
DPT overlay errors result in CD distortions and CD non-uniformity leads to overlay errors demanding
increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are
used to characterize the CD uniformity, focus and dose control. We will present CD distribution (CDU) and
profile characterization for spacer double patterning structures by advanced scatterometry methods. Our
result will include NISR, and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer
double patterning stack. We will further show the results of spacer DP structures by NISR and SE
measurements. Metrology comparison at various process steps including litho, etch and spacer and
validation of CDU and profile; all benchmarked against traditional CDSEM measurements.
The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques
(DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of
critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective
metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In
this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT),
which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between
various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced
metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO)
using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be
reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT
targets by different overlay approaches will be presented.
As optical lithography advances to 32 nm technology node and beyond, double patterning technology (DPT)
has emerged as an attractive solution to circumvent the fundamental optical limitations. DPT poses unique demands on
critical dimension (CD) uniformity and overlay control, making the tolerance decrease much faster than the rate at which
critical dimension shrinks. This, in turn, makes metrology even more challenging. In the past, multi-pad diffractionbased
overlay (DBO) using empirical approach has been shown to be an effective approach to measure overlay error
associated with double patterning [1]. In this method, registration errors for double patterning were extracted from
specially designed diffraction targets (three or four pads for each direction); CD variation is assumed negligible within
each group of adjacent pads and not addressed in the measurement. In another paper, encouraging results were reported
with a first attempt at simultaneously extracting overlay and CD parameters using scatterometry [2].
In this work, we apply scatterometry with a rigorous coupled wave analysis (RCWA) approach to characterize
two double-patterning processes: litho-etch-litho-etch (LELE) and litho-freeze-litho-etch (LFLE). The advantage of
performing rigorous modeling is to reduce the number of pads within each measurement target, thus reducing space
requirement and improving throughput, and simultaneously extract CD and overlay information. This method measures
overlay errors and CDs by fitting the optical signals with spectra calculated from a model of the targets. Good
correlation is obtained between the results from this method and that of several reference techniques, including empirical
multi-pad DBO, CD-SEM, and IBO. We also perform total measurement uncertainty (TMU) analysis to evaluate the
overall performance. We demonstrate that scatterometry provides a promising solution to meet the challenging overlay
metrology requirement in DPT.
The performance of a 0.25NA full-field EUV exposure tool is characterized in terms of CD uniformity, focus
and overlay control, as well as dose uniformity. In addition to the characterization of the scanner, we explore the use of
scatterometry techniques for the measurements of extremely fine resolution features, with critical dimensions below 40
nm. The stability of the scanner performance over an extended period of time is assessed.
KEYWORDS: Data modeling, 3D modeling, Scatterometry, Calibration, Optical proximity correction, 3D metrology, Critical dimension metrology, Lithography, Line edge roughness, Cadmium
The ability to manage critical dimensions (CDs) of structures on IC devices is vital to improving product yield
and performance. It is challenging to achieve accurate metrology data as the geometries shrink beyond 40 nm features.
At this technology node CDSEM noise and resist LER are of significant concerns1.
This paper examines the extendibility of scatterometry techniques to characterize structures that are close to limits of
lithographic printing and to extract full profile information for 2D and 3D features for OPC model calibration2. The resist
LER concerns are diminished because of the automatic averaging that scatterometry provides over the measurement pad;
this represents a significant added value for proper OPC model calibration and verification. This work develops a
comparison matrix to determine the impact of scatterometry data on OPC model calibration with conventional CDSEM
measurements. The paper will report test results for the OPC model through process data for accuracy and predictability.
In this study, we discuss modeling finite laser bandwidth for application to optical proximity
modeling and correction. We discuss the accuracy of commonly-used approximations to the laser spectrum
shape, namely the modified Lorentzian and Gaussian forms compared to using measurement-derived laser
fingerprints. In this work, we show that the use of the common analytic functions can induce edge
placement errors of several nanometers compared to the measured data and therefore do not offer
significant improvement compared to the monochromatic assumption. On the other hand, the highlyaccurate
laser spectrum data can be reduced to a manageable number of samples and still result in sub
0.5nm error through pitch and focus compared to measured spectra. We have previously demonstrated that
a 23-point approximation to the laser data can be generated from the spectrometry data, which results in
less than 0.1nm RMS error even over varied illumination settings. We investigate the further reduction in
number of spectral samples down to five points and consider the resulting accuracy and model-robustness
tradeoffs. We also extend our analysis as a function of numerical aperture and illumination setting to
quantify the model robustness of the physical approximations. Given that adding information about the
laser spectrum would primarily impact the model-generation run-times and not the run-times for the OPC
implementation, these techniques should be straightforward to integrate with current full-chip OPC flows.
Finally, we compare the relative performance of a monochromatic model, a 5-point laser-spectral
fingerprint, and two Modified Lorentzian fits in a commercial OPC simulator for a 32nm logic lithography
process. The model performance is compared at nominal process settings as well as through dose, focus
and mask bias. Our conclusions point to the direction for integration of this approach within the framework
of existing EDA tools and flows for OPC model generation and process-variability verification.
This paper examines the extendibility of the scatterometry techniques to characterize structures pushing the limits of
current lithographic printing technologies. In particular, we investigate the limits of normal-incidence optical CD (NIOCD)
measurements using the smallest features afforded by the most recent generation of hyper-NA immersion
scanners. Special analysis techniques have also been developed and applied to cases relevant to double exposure and
double patterning lithography. Models were used successfully to decouple CD and overlay values associated with
patterning the first and second set of features on the wafer, using a single scatterometry measurement. These advances
pave the way to the development of full solutions for the general case of double patterning structures with two different
populations of lines or structures.
In addition, the current study focused on seeking a better understanding of the use of scatterometry 3D features
characterization, particularly as it relates to OPC model building and verification. The demonstration of tip-to-tip
measurements on 3D structures is very encouraging as it introduces the advantages of scatterometry, such as reduced
influence of line-edge roughness (LER) and better precision, to the practice of advanced OPC model building.
Demanding sub-45 nm node lithographic methodologies such as double patterning (DPT) pose significant challenges for
overlay metrology. In this paper, we investigate scatterometry methods as an alternative approach to meet these stringent
new metrology requirements. We used a spectroscopic diffraction-based overlay (DBO) measurement technique in
which registration errors are extracted from specially designed diffraction targets for double patterning. The results of
overlay measurements are compared to traditional bar-in-bar targets. A comparison between DBO measurements and
CD-SEM measurements is done to show the correlation between the two approaches. We discuss the total measurement
uncertainty (TMU) requirements for sub-45 nm nodes and compare TMU from the different overlay approaches.
In this work, we model the effects of excimer laser bandwidth on optical proximity effects in high-NA ArF dry and immersion lithography. We quantify the errors introduced by using common
approximation methods for the laser spectrum, such as the modified Lorentzian and Gaussian forms.
Although these approximations are simple to use, and their symmetry properties can lead to reduced
simulation run-times, they typically induce significant CD error when compared to the use of measured
spectral profiles, which are obtained from high-resolution spectrophotometry. In this paper we establish
some accuracy benchmarks and demonstrate the need for inclusion of information about the spectral
profile - for the laser type of interest - in order to achieve sub-nanometer image calculation accuracy
required for optical proximity correction. We further assess the speed-accuracy tradeoffs in terms of data
truncation and sampling, and propose some practical limits for sampling the illumination spectrum.
Additionally, in this work, we propose a new physically-based spectrum approximation method,
which significantly reduces computation time at a cost of less than 0.25nm residual image-CD error from
the fully-sampled image calculation. In addition to aerial image, we compare 45nm-node calibrated resist
models and latent image results for 0.92NA dry and 1.2NA immersion processes using measured
illumination profiles and lens aberrations. Finally, we consider the laser bandwidth sensitivity of 2D line-end
patterns and typical post-OPC designs for a logic gate-process.
This paper discusses the use of scatterometry for scanner focus control in hyper-NA lithography. A variety of techniques
based on phase shift technology have been traditionally used to monitor scanner focus. Recently scatterometry has
offered significant promise as an alternate technique to monitor both focus and dose. In this study, we make careful
comparisons of a Scatterometry-based Focus-Dose Monitoring (SFDM) technique to Phase-grating Focus Monitoring
(PGFM). We discuss the operating principles of these techniques and compare the sensitivity of SFDM to PGFM. In
addition, the variation observed in characterizing intra-field and across-wafer behavior of a hyper-NA immersion
scanner is described when using these different techniques.
KEYWORDS: Data modeling, Scatterometry, Critical dimension metrology, Lithography, Finite element methods, Reticles, Semiconducting wafers, Metrology, Process control, Systems modeling
In this paper, results and analysis are presented from Advanced Micro Devices' (AMD) efforts at calculating lithography
dose and focus parameters using scatterometry metrology and semi-physical CD models. The system takes advantage of
the accurate and precise top and bottom CD data produced by scatterometry to differentiate dose and focus variation. To
build the lithography process model, scatterometry data is generated for each field of a focus-exposure matrix (FEM)
wafer, and the resulting top and bottom CD data is used to fit the parameters of series expansions relating CD to dose
and focus. When new CD data is generated, the models can be inverted to solve for dose and focus independently. Our
methodology employs a flexible modeling and inversion approach in an attempt to make the technique applicable to any
production film stack and any line spacing regime. The quality of the inversion results are highly correlated to the
degree of focus observability present in the system. Our results will show how a series of litho process with varied film
stacks and line/space ratios respond to this technique, and we will report some best practices for a variety of use cases
ranging from equipment characterization to focus monitoring on product.
Scatterometry techniques are used to characterize the CD uniformity, focus and dose control, as well as the image
contrast of a hyper-NA immersion lithography scanner. Results indicate very good scanner control and stability of these
parameters, as well as good precision and sensitivity of the metrology techniques.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.