PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 12055, including the Title Page, Copyright information, Table of Contents, and Conference Committee listings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The inner spacer process is a critical step in gate-all-around (GAA) nanosheet FET device fabrication and SiN is the most common material to be deposited after the indentation of the SiGe layer of alternative Si/SiGe layer structure. This gap filling process demands for highly uniform growth in order to minimize transistor variability, the lateral open feature of the indentation brings new challenges to conventional deposition technologies such as low-pressure chemical vapor deposition (LPCVD) and plasma enhanced chemical vapor deposition (PECVD). In this work, we propose an analytical model of SiN deposition to predict the profile evolution of both LPCVD and PECVD, which can help process tuning and understand the influence of the multi-layer geometry and process condition on inner spacer growth performance in a more efficient and economical way. Experimental results reveal that the filling effect of LPCVD is significantly better than that of PECVD, simulation results also validate this. We also compare simulations with experiments, by comparing the model output with original SEM image, satisfactory matching between the two profiles demonstrates the validity of this model. Moreover, we set the SiGe layer thickness to be 10nm, 20nm and 30nm, and SiGe indentation as 10nm, 30nm and 50nm. Simulation reveals that the geometry has significant impact on the deposition performance. When the indentation is less than 10nm, both LPCVD and PECVD exhibit good SiN coverage. However, when indentation is deepened from 10nm to 30nm and 50nm, for PECVD, void firstly forms in 10nm thick SiGe layer and the necking effect tends to form larger void in 20nm and 30nm thick SiGe layers. For LPCVD, however, SiN grows more uniformly within and outside the cavity, and only very narrow gaps form in the cavity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The new generation of 10nm node DRAM devices have now adopted EUV based patterning techniques. With further shrink in design rules, single exposure EUV processes will be pushed further using advanced photoresists and new mask types. However, in absence of high NA EUV lithography ready for high volume manufacturing (HVM) until at least 2025, acceptable local CD (critical dimensions) uniformity and yielding process windows at low exposure dose are a challenge for single exposure EUV. Further, for EUV implementation in sub-32nm pitch DRAM capacitator patterning applications, multi-patterning techniques must be explored. In this paper, EUV based double-patterning techniques have been demonstrated to pattern honeycomb array contact holes and pillars. The processing utilizes two EUV masks, using simple angled line space patterns. We have explored two different types of double patterning options: litho-freeze-litho-etch (LFLE) to pattern contact holes and litho-etch-lithoetch (LELE) to pattern pillars. In the absence of high NA EUV, these processing techniques are useful to pattern tight pitch (e.g., 32nm) contact holes/pillars for newer generations of DRAM devices. Another key objective of this paper is to present a set of metrology characterization methods to enable proper process optimizations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Continued momentum in the development of EUV photolithography toward high volume manufacturing has increased photoresist purity requirements that accelerate improvements in resolution, sensitivity, and line edge roughness. Previous research indicates 1,2,3 that the composition of photoresist materials is a major contributor to stochastic effects as semiconductor device critical dimensions decrease. Photoresist is a mixture of small molecules of PAG (photo acid generator) and quencher, and large polymer molecules, whose molecular weight can pose significant challenges to filtration and purification operations. It is critical to maintain the compositional balance, stability, and uniformity of photoresist to ensure expected lithographic performance. Contamination control (filtration + purification) technology is important to maintain a material’s purity, removal of undesired species, to improve lithographic performance. This paper describes research to develop a new filter evaluation method to understand and assess interactions of filters with photochemicals. The study investigates the ability of various filtration materials to improve polymer uniformity in an EUV polymer analogue. This study also demonstrates the efficacy of optimized filtration design to capture different contamination sources and improve polymer distribution uniformity, providing recommendations to reduce contaminants and their impact on stochastic issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Here we present a methodology for identifying and characterizing nanoscale sites in EUV photoresists which deviate from the mean composition by 3σ. The methodology is based on Nano-Projectile Secondary Ion Mass Spectrometry (SIMS) operating in the in the event-by-event bombardment detection mode. Nanoscale analysis is achieved by probing the surface stochastically with a suite of individual nano-projectile impacts where each nano-projectile samples a volume 10-15 nm in diameter and up to 10 nm in depth. For each impact the coemitted secondary ions are collected, and mass analyzed, allowing for the analysis of co-localized moieties. We applied this method to study the changing film composition in an EUV resist and isolated measurements with a probability below 0.3%. By examining these measurements, we can identify rare sites on the surface that may correspond to molecular aggregations in the surface. In a developed film, the mass spectrometry measurements showed that the photoacid generator, PAG, cation displayed decreased homogeneity on average with increasing exposure dose. The effect was more pronounced in the sites with probabilities below 0.3%, where the measured intensity of the PAG cation in these sites was over 2-fold larger than the mean. Thus, we attribute these nanoscale sites to aggregations of PAG within the top 10 nm of the film. These rare sites may be important in defect production and film stochastics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In chemically amplified resists (CARs), it is known that catalyst diffusion is accelerated by the deprotection reaction. However, the mechanisms that drive this enhancement are not yet known as it is difficult to probe local changes in polymer chemistry and density during the reaction, as well as their effects on catalyst diffusion, through experiments alone. We examine catalyst diffusion in a model terpolymer CAR, both in the presence and absence of reaction, using a combination of experiments and atomistic simulations. From experiments, we find that deprotection rates are faster than film densification, and that reaction enhances long-time catalyst diffusion lengths by a factor of four. From simulations, we find evidence that catalyst mobility is enhanced in recently reacted regions of the terpolymer. These results provide insight into the origins of accelerated catalyst diffusion during reaction, and will be utilized in the development of physics-informed models of CAR systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In photoresist processing a prebake is traditionally used after coating the photoresist on a wafer to drive off solvents in the resist, resulting in a more stable film. In comparison to other stages of the lithography process (e.g. the conditions of exposure and post-exposure events), limited attention is paid in the prebake optimization for the EUV application. In this work, investigation is done to clarify its role for the case of chemically amplified resists (CAR). Compared to the earlier DUV application, this resist is used at significantly smaller thicknesses and has a significantly different composition in terms of photo acid generator (PAG) and quencher types and concentration. In a first screening, a commercial CAR material – coated on Si – was investigated towards contrast changes at different prebake temperatures. It was found that lower temperature can result in adhesion failure when substrate conditions are not optimized for adhesion. With proper adhesion promotion however, it was found that prebake temperature could be lowered significantly or even omitted, without clear change in contrast. Using model resists in combination with residual gas analysis (RGA), it was found that the use of photo-decomposable quencher could be responsible for maintaining contrast to lower bake temperatures. In a second investigation, an assessment towards outgas risk was done when using resists at lower prebake temperatures in EUV scanner environment. Finally, the printability of commercial CAR was tested on the NXE3400 EUV scanner at different prebake temperatures. This was done by coating the CAR on two available underlayer materials: spin-on-glass and deposited underlayer. Results show that the prebake temperature could be reduced or even omitted without a clear deterioration in process window, line edge roughness and defectivity. It was found that proper choice of underlayer material could even improve slightly the printing performance at lower prebake condition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New photoresists are needed to advance Extreme Ultraviolet (EUV) lithography. Tailored design of efficient resists is impossible without a fundamental understanding of EUV induced chemistry. In EUV resists, due to the high energy of the incident photons, most of the chemistry arises from the emitted electrons and not the EUV photons themselves. The absorption of an EUV photon by a resist film leads to the emission of primary electrons, which, through a cascade of inelastic scattering events, cause excitation of molecules and subsequent emission of secondary electrons. The electrons may travel up to tens of nanometers before losing their kinetic energy via collisions which initiate chemical reactions. Because the electrons are playing a leading role in EUV patterning, initiating chemical transformations, it is important to characterize their generation, transport, and energy distribution. Previously, we demonstrated a suite of experimental techniques capable of characterizing EUV absorption, electron yield, and electron attenuation length of resist materials. In this work we demonstrate how to experimentally investigate the energy spectrum of those electrons via EUV photoelectron spectroscopy of thin films. We explore how different elements or functional groups may change the energy distribution of emitted electrons, aiming to understand how to control the electron cascade.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The dissolution (including the formation of transient swelling layer) of a resist polymer is key to the realization of ultrafine patterning. However, the details of the dissolution of resist polymers remain unclarified. In this study, the swelling and dissolution kinetics of poly(4-hydroxystyrene) (PHS) film in pure water and alkaline aqueous solution were investigated. PHS is a typical backbone polymer (a dissolution agent) of chemically amplified resists. By changing the length of alkyl chains of amines, the swelling and dissolution kinetics of PHS were observed. Their dependences on the film thickness of PHS and the concentration of amines were discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photoresist metrics such as resolution, roughness, CD uniformity, and overall process window are often aimed to realize the full potential of EUV lithography. From the view of the materials supplier, improvements over the aforementioned metrics can be achieved by optimizing the functional materials used under the resist. The underlayers can significantly enhance the resist performance by providing appropriate adhesive forces to the patterned features, counterbalancing the tendency of pattern collapse, and improving the resist/substrate compatibility. In this study, we introduce spin-on-glass (SOG) underlayers that can provide adhesion to resist through different mechanisms, including covalent bonding, hydrogen bonding, and Van der Waals force. The underlayers were characterized in depth to understand their coating quality, adhesion to resist, and surface energy. The EUV lithographic performance was evaluated by applying chemically amplified resists (CARs) directly onto these SOGs for line/space features at the target pitch sizes of 30 nm and 28 nm. The lithographic evaluation indicates that the patterning performance strongly depends on resist/underlayer adhesion. By appropriately adjusting the resist/underlayer adhesion, we can realize 28 nm pitch printing with defect-free depth of focus larger than 300 nm and unbiased line-width roughness around 2.2 nm. The plasma etch rate of the SOGs were also evaluated to assess their pattern transfer performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In extreme ultraviolet lithography (EUVL), underlayers have been introduced to improve process window, stochastic printing failures, LWR and even photo-speed. As a result, studies about chemical and physical interactions at resist-underlayer interface have been reported and appropriate designs of silicon based hardmasks and thin organic underlayers for EUVL have been proposed for recent years. EUV underlayers are required to have not only chemical moieties for EUV specific functions but also proper physical properties. The thickness of underlayer has continuously shrink down to a few nanometers to reduce dry etch burden for effective transfer of small patterned features to substrates. In this paper, we report noticeable property variation of an organic underlayer thin film by confinement effect upon thickness reduction. We investigated the thickness effect on key factors, such as film density, coefficient of thermal expansion (CTE), film Tg and surface energy, and consequent impact on EUVL performance while chemical composition of underlayers were not altered.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resist I: Sequential Infiltration, Dry and Metal Resist
Extreme ultraviolet (EUV) lithography is crucial to achieving smaller device sizes for next-generation technology, although organic resists face substantial challenges, such as low etch resistance, which limit the resolution of smaller features. Area-selective deposition (ASD) is one potential avenue to improve pattern resolution from organic EUV resists by selectively depositing material on one region of the resist, while preventing material deposition on an adjacent region. We therefore evaluate the compatibility of various organic EUV resists with area-selective atomic layer deposition (ALD) processes, including considering the effects of photo-acid generator (PAG) and EUV exposure on polymer properties and selectivity. The thermal stability of thin resist materials at the TiO2 deposition temperature (125°C for 60 minutes) is confirmed with water contact angle and atomic force microscopy. Upon TiO2 ALD from TiCl4 and H2O, Rutherford backscattering spectrometry reveals successful TiO2 deposition on poly(tert-butyl methacrylate), poly(p-hydroxystyrene), and poly(p-hydroxystyrene-random-methacrylic acid) polymers, regardless of PAG or EUV exposure. However, TiO2 inhibition is observed on poly(cyclohexyl methacrylate). Thus, we demonstrate that EUV polymers can serve as either the growth or non-growth surface during TiO2 ASD, an insight that can be used to enable resist hardening and tone inversion applications, respectively. These results serve as a basis for further ASD studies on EUV resist materials to improve pattern resolution in next-generation devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, a molecular resist capable of high-resolution patterning with excellent sensitivity was pursued by introducing a metal atom into a small molecular fluorinated core. After selecting the phthalocyanine (Pc) unit as a molecular framework, exhibiting excellent chemical stability and etch resistance, fluorinated alkyl and aryl moieties and zinc atoms were incorporated into the framework to complete the synthesis of Pc-based EUV resists. Analyses of the recovered compounds were performed by nuclear magnetic resonance (NMR), Fourier transform infrared spectroscopy (FT IR), and ultraviolet–visible (UV-vis) spectroscopy to confirm that the structures of the desired materials were secured properly. Through the electron-beam lithographic experiments, it was verified that all the thin films composed of the three Pc materials lost their solubility by interacting with high-energy electrons to form negative-tone images. When EUV lithography was carried out on ZnPc-A, which has linear perfluoroalkyl moieties, 40 nm-sized patterns were formed at the relatively small energy of 34 mJ/cm2. From the synthesis and lithographic characterization results, it can be assumed that fluorinated metallophthalocyanine materials can be considered EUV resist candidates. However, for highresolution patterning, it is necessary to maintain an appropriate film thickness and adjust the processing parameters, including the adhesion to the substrate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, imec and Zeon introduce the resist with new concept and report the lithography performance. Zeon has developed a new resist (ZER02#05M) in order to improve both resolution and lithography performance because conventional Zeon resists generated worse resolution and kissing(C/H)/pinching(L/S) defects at tight pitch by top loss. The lithography performance at hexagonal contact hole (C/H) patterns with ZER02#05M is presented at ADI. For P40nm hexagonal C/H pattern in ADI by new resist, the lithography performance at CD17.5 nm in hole CD was achieved at the exposure dose of 92 mJ/cm2, giving a LCDU of 2.74 nm. It at CD18nm in P38nm hexagonal C/H pattern was resolved at 105 mJ/cm2, with a LCDU of 2.95 nm. t at CD18nm it at CD 17nm in P36nm hexagonal C/H pattern was resolved at 92 mJ/cm2, with a LCDU of 4.12 nm. Entire results with ZER02#05M could improve LCDU compared to ZER02#04DM, especially at larger CD. Additionally, patterning performance in AEI with 05 which did not optimize polymer properties could transfer patterns well and enhance LCDU compared to ZER02#04DM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The improvement of EUV photoresists is a key enabler for the deployment of High-NA EUV lithography and future technology nodes. Research in this field is supported by a joint research program between PSI and ASML. This program is dedicated to supporting the EUVL community with the development of materials by carrying out resist screening experiments with the EUV interference lithography tool at PSI, with an emphasis on resolution improvement towards reaching High-NA EUV scanner specifications. The tool enables high-resolution patterning without limitations in terms of chemical outgassing or contamination and is, therefore, an effective method for the testing of novel EUV photoresists. In this work, we consider the progress monitored in the second half of 2021. We describe the exposure tool as well as the updates made to the resist characterization metrology implemented at PSI. Resist performances are quantified with regards to resolution, linewidth roughness, and sensitivity. We show an overview of the current development status through a comparative study of different resist platforms. Recent results show chemically amplified resists (CAR) and non-CAR printing 12 nm and 11 nm lines/spaces features, respectively. Limitations of each platform are displayed and general progress and perspectives are discussed to lead the way to higher resolution results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Single exposure EUV patterning for lower pitches (below 32 nm L/S) proves to be challenging and dependent on a series of factors including exposure tool related parameters such as illumination conditions but also material related parameters such as sensitivity to EUV photons and resist chemistry. While the industry is focused on developing a set of universal exposure conditions that can be applied to all resist systems, material suppliers must constantly enhance the exposure mechanism of the resists in order to support further advance in technology. The multi-trigger concept involves a reaction that will only occur when multiple elements of the resist are initiated concurrently and in close spatial proximity in order to enable the catalytic reactions. In high dose areas the resist behaves like a traditional CAR, whilst in low dose areas, such as line edges, the reaction is second-order increasing the chemical gradient. Effectively there is a dose dependent quenching-like behaviour built into the resist, enhancing chemical contrast and thus resolution, whilst eliminating the materials stochastics impact of a separate quencher reducing roughness. The multi-trigger resist (MTR) presented consists of a novel multi-trigger control molecule and a crosslinker, which represent the resist matrix, together with a photoacid generator (PAG). Here we present results from work focused on the enhancement of the high-opacity MTR resist. The absorptivity of the resist can be increased by replacing the standard crosslinker with a high-opacity crosslinker. The absorptivity of the crosslinking molecule can itself be changed by varying both the number of attached photo-absorption groups and by varying the specific choice of the high opacity group. Other modifications to the crosslinking molecule, which are presented, include reducing the steric hindrance of the molecule by changing the structure. The high-opacity crosslinker molecules have been synthesized and then formulated into the MTR resist. We report results obtained using the new MTR system containing this high-opacity cross-linker with a variation of process conditions, and with formulation variations. The lithographic performance of a formulation containing this crosslinker, at pitch 32nm patterned on an NXE3400 is presented. The sensitivity of the resist can be increased by 25% by varying the length of the crosslinker arm whilst keeping other factors such as the number of high opacity groups constant. Furthermore, we have also investigated increasing the activation energy of the self-quenching aspect of the MTR system. In the case presented, MTR8 has a higher activation energy than MTR2 and MTR4. Having a higher activation energy is predicted to allow the introduction of a post exposed bake (PEB) to increase crosslinking and reduce pattern collapse, whilst simultaneously preserving the self-quenching behaviour. We will present results which show using a higher activation energy molecule (MTR8) results in a minimisation of Z-factor and LWR, when increasing the PEB temperature by 10 degrees compared to MTR4. Pitch 32nm dense line spaces can be patterned at a dose of 49.5mJ/cm2, a line width of 15.5nm and an biased LWR of 3.69nm. Pitch 28nm dense patterns can be patterned at a dose of 59mJ/cm2, a line width of 12.5nm, and a biased LWR of 3.91nm. These resist formulations have also been used to pattern 25nm diameter pillars on a 40nm pitch with a dose of 50mJ/cm2, and a CDU of 2.98nm. High photospeed approaches, which have patterned p24 and p28 lines and p34 hex pillars at sub-30 mJ/cm2 doses are also introduced
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Scissionable polymers are polymers that will depolymerize under different stimuli including acid, base, and free radicals. These polymers have been investigated in the development of photoresists and other degradable materials. This work focuses on the poly(phthalaldehyde), PPA, a family of scissionable polymers. The PPA backbone consists of acetal linkages that are very sensitive to acids. Upon exposure to acids, the polymer chain depolymerizes to its corresponding monomers. This depolymerization behavior makes PPAs excellent candidates as photoresist materials. Several new PPA photoresists are being explored in this work.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Stochastics: Joint Session with Conferences 12051 and 12055
Characterizing chemical changes in photoresists during fabrication processes is critical to understanding how nanometric defects contribute to film stochastics. We used Nano-Projectile Secondary Ion Mass Spectrometry (NP-SIMS) to evaluate the nanoscale homogeneity of components in positive-tone extreme ultraviolet resists. NP-SIMS was operated in the event-by-event bombardment/detection mode, where a suite of individual gold nanoprojectiles separated in time and space stochastically bombard the surface. Each impact ejects secondary ions from a volume 10-15 nm in diameter and up to 10 nm in depth allowing for analysis of colocalized moieties with high spatial resolution. Individual partially exposed EUV resists were analyzed after light exposure, postexposure bake (PEB), and development. Results showed an expected increase in protonated quencher versus exposure dose, while after development we observed increased abundance in the remaining film. The latter we attribute to poor solubility in the developing solvent. Examining the photoacid generator, PAG, we found decreased PAG cation abundance versus exposure dose in the exposed films, likely due to photodecomposition of the PAG cation. Moreover, after development we observed decreased homogeneity of PAG ions, which we attribute to preferential extraction caused by ion-exchange interactions with the developer. We found that the insoluble moieties persisting on the surface after development were relatively rich in the protecting group, likely due to uneven deprotection of the polymer. Overall, NP-SIMS allows to characterize the resist at the nanoscale and identify conditions that lead to defect formation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Tetramethylammonium hydroxide (TMAH) aqueous solutions has been used as a developer for chemically amplified resists (CARs), dissolving polymer with polar groups generated by exposure. Although the dissolution kinetics of CARs has been widely studied, it is necessary to understand molecular-level information such as interaction between polymer chains, to consider the dissolution of thin and minute resist films that are used nowadays. In this study, we used dynamic light scattering (DLS) to study the state of PHS chains in TMAH aqueous solution. The effect of the concentration of each ionic species on dispersion of PHS chains was investigated using solutions whose base concentrations were changed by two methods: dilution with pure water and neutralization with acid. PHS chains were well dispersed as the base concentration and ionic strength of the solution increased, while they aggregated as these two factors decreased. We also studied the dissolution behavior of PHS films under nearly identical conditions using quartz crystal microbalance (QCM). The dissolution of the films was also affected by the two factors described above. In addition to this, with the decrease in the above two factors, we observed formation of large swollen layer as we have reported in the past. We assumed these effects on dissolution of films were mainly due to the acid-base equilibrium at the solid-liquid interface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The next-generation extreme ultraviolet (EUV) lithography tool, i.e., the high-numerical aperture (NA) ASML EXE system is now under preparation. As a consequence of the 0.55 NA, EXE scanners decrease the depth-of-focus (DoF) drastically, which requires much thinner photoresist thickness. However, a thinner photoresist process causes line edge roughness (LER) increase. Therefore, roughness mitigation is one of the key elements of high-NA EUV process development. We have studied how to heal LER of thin photoresist processes by illumination and mask. Rigorous simulations were conducted by Sentaurus EUV lithographyTM (S-litho EUV) software provided by Synopsys on a calibrated stochastic Inpria metal oxide resist (MOR) model to adopt the correct photoresist parameter set. Unbiased LER values were experimentally measured on half pitch (hp) 14 nm and 24 nm line and space (LS) as a function of normalized image log slope (NILS) and photoresist film thickness. 24nm hp on 0.33 NA corresponds to 14nm hp on 0.55 NA from the viewpoint of k1 factor (~0.57). NILS of these LS patterns was varied by changing illumination (dipole and annular). By comparing the experimental LER values on silicon with the simulated results, we predicted the LER performance of high-NA EUVL on 14nm hp LS pattern. In this research, we will discuss the better material condition for reduced LER of fine LS pattern at high-NA EUVL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Virtual fabrication is used to evaluate the performance of interconnects (line and via resistance, capacitance, etc.) across pitches compatible with either EUV single exposure or SADP for three different process flows: single damascene, dual damascene, and semi-damascene (subtractive metal etch). The effects of process variation for the three flows are also investigated to determine the relative importance of process flow, variation, and scaling when moving toward aggressive pitch interconnects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, an active area cut approach using a self-aligned double patterning process for advanced DRAM was studied using virtual fabrication. The mandrel critical dimension, mandrel line edge roughness, mandrel overlays and spacer thicknesses were selected as input process variables in the virtual DOE to investigate their sensitivity to the final fin cut head to head (HTH) critical dimension (CD) and its uniformity (CDU). For the mean CD, the study illustrates that maximum mean CD can be obtained at a combination of mandrel CDs when the mandrel overlays approach a value of zero. The overlay of mandrel 1 in both the X and Y direction appears to have a stronger impact on the mean CD than the overlay of mandrel 2. We determined that the mean HTH CD decreased 0.44 and 0.93 nm, respectively, when the spacer 1 and spacer 2 thickness were increased by 1 nm. Our simulations also show that CDU is strongly impacted by the mandrel line edge roughness (LER), at a sensitivity of 1.16 nm / 1 nm. The lowest variation and maximum mean CD can be obtained using particular combinations of mandrel 1 CDs and spacer thicknesses. Overlays do not impact the final HTH CDU. From our study, we can provide clear guidance to developers about the relationship between process parameters and final HTH CD and CDU, showing that the integrated process should be centered at particular conditions to obtain an optimal process window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports the readiness of key EUV resist process technologies using Metal Oxide Resist (MOR) aiming for the DRAM application. For MOR, metal contamination reduction and CD uniformity (CDU) are the key performance requirements expected concerning post exposure bake (PEB). Based on years of experience with spin-on type Inpria MOR, we have designed a new PEB oven to achieve contamination mitigation, while keeping our high standard of CDU. The new bake oven was introduced in our coater and developer and evaluated using line/space patterns. As described in the results, exceptional CD uniformity was realized while exceeding the metal contamination specification. The new plate design also enabled a 30% reduction in dose-to-size without degradation of CDU when applying higher PEB temperature. Another challenge for the DRAM application in particular is pattern collapse as applied to pillar patterns. By optimization of several parameters, the pattern collapse margin extended the minimum CD by 13.8%. The result was achieved with a combination of SiC in place of SOG for under layer, thinner resist film thickness and a modified resist material, MOR-B. Finally, to achieve target yield performance, defectivity reduction is also an important task towards MOR application. An integrated approach is needed to realize scum free patterning because if metal residuals remain in the open space, they can cause yield-killing defects. By analyzing possible root causes of defect sources, we attempt to eliminate etch-masking scum layer present after conventional developer processing. By applying a post develop rinse including novel hardware for defect reduction, bridge defects were reduced up to 19% with new the technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The application of metal-oxide resist (MOR) for mass production is highly expected since MOR has an advantage of higher resolution. However, the metal components itself has a high risks of metal contamination and the defects based on metal components. Therefore, control of defects is more important than conventional chemically amplified resist (CAR). In this paper, reduction of defect densities are examined by latest technologies in the coater/developer. As results, on pillar pattern, many fall-on and scum defects were observed and majority of them were transferred to underlayer by etching process. In order to reduce the fall-on and the scum defects, three possible solutions were proposed. First, the latest resist supply system was designed for MOR. In addition, particles emitted from the latest supply system was reduced compared to the conventional system. Second, improvement of purity of the developer solution contributed to the reduction of fall-on particles. Third, application of the optimized rinse after development reduced 12% of the scum defect on the pillar pattern after lithography. It contributed to 19% (single bridge) and 18% (multi bridge) reduction after etching process. Furthermore, the optimized rinse reduced the single bridges on the line and space (L/S) pattern by 14% after etching process. These proposed three technologies are expected to be utilized for high volume manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stochasticity is a major contributor to the resolution limit of fine mechanics and optical imaging, which is also an obstacle for achieving cutting edge EUV lithography performance. The root cause of stochasticity comes from the pattern edge random variation within the resist after exposure due to low contrast. High substrate adhesion is also very fatal as it further aggravates the variation at the substrate due to increased interaction, leading to stochastic failures. In this paper, Stochastic Area Thickness (SAT) and Dynamic Stochastic Area Thickness (DSAT) are used to evaluate the stochastic interactions. High optical foot exposure is proposed instead of conventional low substrate reflectivity to reduce SAT. Adhesion control by acid/quencher loading is proposed to minimize the stochastic interaction between resist and substrate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Defect source reduction in Extreme Ultraviolet (EUV) photoresists is a critical requirement to improve device performance and overall yield for a seamless transition to high volume manufacturing, especially for technologies in the 3 nm node and beyond. This is particularly true considering the increased influence of stochastic imaging defectivity in EUV relative to prior lithographic technologies. Filtration is one of the key enabling technologies to maintain a material’s purity and therefore enhance process performance, beginning when the photoresist is manufactured, and continuing until the photoresist is dispensed on a wafer. We have previously presented a novel filtration technology development to maximize filtration efficiency for specific contamination sources and reduce defectivity in EUV photoresists. In this paper, further results and possible defect reduction mechanisms will be updated and discussed to address stochastic issues, specially variability of polymer molecular weight distribution in EUV CAR (Chemically Amplified Resists) photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We discuss the importance of resist loss in resist calibration under multi-patterns and put it into resist calibration flow. The work is based on the exposure-focus data matrix which includes a mass of CD values obtained by experiments with step-variation setting of exposure and focus in ArF lithography. We focus on the Root-Mean-Square-Error (RMSE) between experiments and simulations for calibration. The model after calibration with only CD values including could predict that the RMS is low to 2nm for single pattern, and to 5nm for several pattern. However, the cross section image predicted by the aforementioned model is not correspond with the experiment scan imagery. The resist loss adding to flow could improve that and increase the model predictability. Most importantly, it will be shown that calibrated resist models based on several patterns data could predict the resist profile of the other patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV chemically amplified resists (CAR) use a copolymer containing highly-polar poly hydroxy styrene (PHS), which is believed to easily aggregate and form gel-like polymers. These aggregates likely generate microbridge defects, an important yield detractor in leading-edge semiconductor manufacturing. Improvements in EUV photoresist quality and a reduction in on wafer defectivity require more selective removal for this specific contamination source. Earlier presentations have demonstrated the benefit of effective removal of gel-like polymers in ArF immersion photoresists using photochemical filters with functionalized membrane technology [1]. In this paper we introduce a new filter technology for gel-like polymer removal in EUV photoresists. This contamination control technology demonstrates improved efficiency for removing partial, highly polar chemicals and fine particles that cause gel-like polymers over previous filter generations. The filter also improves on wafer defect counts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Scanning electron microscopy (SEM) image is an indispensable device in inspection of photoresist and etched Si patterns. On the other hand, extreme ultraviolet (EUV) radiation offers high resolution in lithography fabrication. Owing to the application of EUV, the feature size of photomask produced by electron beam (EB) lithography is reduced as well. The reduction of feature size made the information extraction from SEM image difficult due to the technical limitation. This proceeding reports a strategy to extract the information of line-and-space pattern. The main step classification of line, space and edge class was realized by unsupervised machine learning – hierarchical clustering. Hierarchical clustering can integrate the brightness and coordination information in classification, and thus the classification ability of it is better than image thresholding. Furthermore, the classification result was used in prediction for etching pattern. The precision and recall of prediction were verified by confusion matrix.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Continuous shrinking of semiconductor manufacturing node requires smaller critical dimension (CD) and higher pattern density, but also a better control of pattern local variability such as local CD uniformity (CDU). Thus, improving the process stability has been shown to improve local variability. Shrinking makes also crucial to control the process-specific patterned defectivity, with a more demanding defect detection and removal effort, which will depend on the mask level and stack materials. Therefore, integrating a new process in a recently installed immersion lithography cluster requires a thorough study of the influence of the track parameters in the specific process flow, as well as the use of last generation optical defectivity inspection, review and classification tools. In this work, we present the main results in the cooptimization of CD control, CD uniformity and after development inspection (ADI) of defectivity in a PTD immersion lithography process. The mask used is a gate layer targeting 42nm dense lines using a trilayer with topcoat lithographic stack.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Removing metallic contaminants from the photochemical supply chain is critical for the creation of high yielding, highly reliable semiconductors. Metallic contaminants may lead to various types of defects, such as cone defects, that can result in significant yield loss and degraded long-term reliability. This makes control of metallic contaminants even more critical in advanced photolithography processes, where the device reliability demands metal contaminants at parts per trillion (ppt) levels. Previous work3 demonstrated the ability of two purifiers, PurasolTM SP and SN, to reduce the metal contaminants in a variety of organic solvents. In this paper we will discuss our continued work to reduce contamination sources that can contribute to defect formation in photolithography applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Still acknowledged as a peculiarly challenging module, the Dual Damascene (DD) in via-first approach is constantly the object of an improvement effort, through many ways: I) testing new materials, II) tuning the coating/baking recipes and III) varying the scanner illumination conditions. The extensive characterization involved, in this case, a KrF 4-layer stack, in which the under-layer gained the role of both vias-filler and flattening material, thanks to the evaluation of many parameters like the introduction of a multi-bake route and the variation of the film thickness. The stack, customized to guarantee the etch feasibility, implied: the usage of a hard mask to allow the digging selectivity, a Bottom-Anti-Reflective-Coating (BARC) to avoid any back-reflection coming from the Silicon oxide substrate and the PhotoResist (PhR) on top. Evaluating different illumination modes, this study demonstrated how the tuning of the Numerical Aperture (NA) and of the σ values can be a feasible solution to decrease the swing effect entity; being it responsible, in most cases, for large dimensional variations when encountering small film thickness intra-die biases. Process Window (PW) and Depth of Focus (DoF) were analyzed thanks to the usage of properly designed test vehicles, to check the definition of the expected structural dimensions. This gave the opportunity to improve the Critical Dimension Uniformity (CDU), always remaining a key topic, especially when dealing with devices addressed to the automotive market.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.