PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
The impact of photoacid generator (PAG) structure has been largely ignored for 193 nm single layer resists. Most published work to date has involved the use of triflic or metallic (antimonate or arsenate) photoacids. Many PAGs used in DUV (248 nm) resists are inefficient when formulated with (non-phenolic) polymers used in 193-nm resists, presumably due to the lack of electron transfer sensitization. In this paper, we document the negative consequences of triflic acid on 193- nm resist performance, including data on acid volatility and the impact of apparent diffusion. Acid generators which combine high reactivity, low photoacid volatility, and improved resolution are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have designed a function-integrated alicyclic polymer, poly[carboxy-tetracyclo(4.4.0.12,5.17,10)dodecyl methacrylate] [poly(CTCDDMA)], which has both a dry- etching resistant unit (the tetracyclododecyl group) and a carboxyl substituent, inducing alkaline-solubility. This polymer exhibits good dry-etching resistance; the etching rate for chlorine plasma is 1.2 times that for the novolac resist because it contains 100 mol% of the alicyclic groups. It also exhibits good solubility in a TMAH solution and good adhesion to the silicon substrate because of the hydrophilic carboxyl group. The chemically amplified resist composed of the ethoxyethyl-protected copolymer poly(CTCDDMA67-ECTCDDMA33) with a photoacid generator resolved a 0.15-micrometer L/S pattern at 21.8 mJ/cm2 using an ArF exposure system (NA equals 0.55).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes some of the basic physicochemical considerations necessary to design a resist for use in 193 nm lithography. Of fundamental importance are the photoreaction which generates the photoacid, the reactivity of the photoacid the dissolution of the resist in the developer, and the adhesion of the images to the substrate. These phenomena are discussed and we show results that demonstrate progress in these areas. In addition, we show preliminary etch resistance of our polymer system and selected lithographic results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We analyzed acid amplified positive resists designed for 193 nm lithography. The acid amplified resists are composed of an acid generator, a partially protected alicyclic polymer and an acid amplifier which is designed to produce acid during post- exposure baking. We found that acid amplified resists double the sensitivity. We also found that introducing acid amplified resists improves surface effect and adhesion. The acid amplified resist resolve 0.16 micrometer L&S, whereas conventional chemically amplified resists only resolve 0.2 micrometer L&S.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on our recent investigations on the formulation and processing of 193 nm single layer photoresists based on alternating copolymers of cycloolefins with maleic anhydride. Resists formulated with cycloolefin copolymers are compatible with 0.262 N tetramethylammonium developers, have excellent adhesion, sensitivity, etch resistance and thermal flow properties. The effect of polymer structure and composition, dissolution inhibitor structure and loading as well as the effect of the photoacid generator on the resist dissolution properties was investigated. Based on the results high contrast formulations were evaluated on a GCA XLS (NA equals 0.53, 4X reduction optics) deep-UV stepper to exhibit 0.27 micrometer L/S pair resolution with excellent photosensitivity. Based on the dissolution properties and a spectroscopic examination of the resist, we have designed materials that show less than 0.17 micrometer L/S pair resolution with 193 nm exposures. In this paper, the formulation methodology is detailed and the most recent results upon both with 248 and 193 nm irradiation are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New series of chemically amplified, single layer, positive tone photoresists for 193 nm lithography have been developed. These resists were formulated from a series of cycloaliphatic co- and terpolymers of 2-methyl propyl bicyclo(2.2.1)hept-2- ene-5-carboxylate (carbo-tert-butoxynorbornene), bicyclo(2.2.1)hept-2-ene carboxylic acid (norbornene carboxylic acid), 8-methyl-8-carboxy tetracyclo(4,4,0.12,5,17,10)dodec-3-ene (methyltetracyclododecene carboxylic acid), norbornenemethanol, and maleic anhydride, which were synthesized by free radical, vinyl addition and ring opening metathesis polymerization techniques. The polymers derived from ring opening metathesis polymerization have bee successfully hydrogenated to provide yet another member of this group of materials. The cycloaliphatic polymer backbones provide etch resistance, mechanical properties and stability to radiation. The lithographic function is provided by carefully tailored pendant groups, which include an acid functionality that is masked by protecting groups that undergo acid catalyzed thermolysis as well as polar groups that influence the adhesion, wetability and dissolution properties of the polymer. The polymers are soluble in common organic solvents and have glass transition temperatures ranging from less than 60 degrees Celsius to higher than 250 degrees Celsius depending on their specific structure and mode of polymerization. They are at least as transparent at 193 nm as the corresponding acrylics. Their dry etch resistance varies with the formulation, but the base polymers etch more slowly than novolac under conditions typically used to pattern polysilicon. Upon exposure and baking, the resists have demonstrated high sensitivities (9-25 mJ/cm2), and 0.16 micrometer features have bean resolved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new class of photoresist matrix polymer based on alicyclic cyclopolymer was developed for use in ArF single-layer lithography. A novel polymer was synthesized by terpolymerization reaction between tert-butyl methacrylate and alicyclic-maleic anhydride alternating copolymer, which has a hydroxy substituent on the alicyclic group. The polymer showed good solubility in a 2.38 wt% TMAH aqueous solution, high thermal stability up to 180 degrees Celsius, and a good dry- etch resistance against CF4 gas (1.14 times the etching rate of novolak resist). Using an ArF excimer laser exposure system, 0.14 micrometer line and space patterns have been resolved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have designed and synthesized a series of novel non-acrylic nortricyclene polymer and copolymers containing various structural units for use in both 193 and 248 nm lithography. These polymers and copolymers are prepared using a free- radical cyclopolymerization process that is very versatile and allows use of a great variety of comonomers. The resulting materials exhibit outstanding dry-etch resistance, good adhesion to silicon, good transparency at 193 nm and, unlike many poly(norbornenes), they are not contaminated by metal catalysts. In preliminary 193 nm testing a resist formulated with a suitable photoacid generator afforded alkali-developed positive-tone images with sub-0.15 micrometer L/S resolution. Although a large array of copolymers are possible due to the ease with which the free-radical copolymerization reaction proceeds, copolymers based exclusively on functionalized norbornadiene and maleic anhydride-derived components have shown great promise. In particular, it is possible to tune their properties through simple variations in structure and composition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A number of single layer ArF resist systems based on acrylic polymer have been reported. However, the resist systems generally have poor dry etch durability and unusual developing condition. To overcome these problems, in this work, we have developed a novel alkaline soluble polymer through deprotection. The polymer was prepared by free radical polymerization of maleic anhydride, norbornene, and t-butyl 3- bicyclo-(2,2,1)-hept-5-en-2-yl 3-hydroxypropionate. Since our polymer system contains large amount of alicyclic carbon and hydroxy group in the polymer chain, the system shows good adhesion, thermal stability, and high transmittance at 193 nm. The resist based on the polymer shows positive tone image of 0.17 micrometer L/S in the presence of photoacid generators such as onium salts. We describe this resist system together with the synthese of monomer and polymer, their characterizations and some of results of lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Characterization Methods for Chemically Amplified Resists
We characterize the effect of structure on the dissolution rate and adhesion of poly(carboxy-tricyclodecylmethyl methacrylate) which is the base polymer for ArF excimer laser lithography. The adhesion of the polymer decreases with an increase in the protection ratio, and 60% tert-butyl protection causes stripping and collapse of the pattern in 2.38% tetramethylammonium hydroxide (TMAH) developer. We synthesize novel protection groups: menthyl derivatives and a hydroxy-tricyclodecyl (meth)acrylate [TCD(M)AOH] unit, and confirm their improved adhesion and dissolution inhibiting effects in the 2.38% TMAH developer. We obtain a 0.35 micrometer pattern using a resist based on a terpolymer containing TCDAOH and the standard developer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Environmental stability has been a major concern in chemically amplified resist systems. These are the major chemistry platforms adopted in DUV resists. To resolve this issue, two extreme approaches were proposed recently. One is using the annealing concept through high temperature bake to densify the film and reduce free volume in the resist, thus minimizing the uptake of airborne contaminants. In this approach, high post exposure bake temperature is used which then bakes away major portion of airborne base from top of the resist, thus minimizing (or eliminating) T-top formation. To be able to execute this concept, a highly thermally stable copolymer of 4-hydroxystyrene and t-butyl acrylate was adopted. The nature of the thermal stability is due to the high activation energy of t-butyl ester group during the deprotection process. The other approach in achieving the environmental stability is using an extremely low activation energy protecting group which will deprotect during the exposure step. Since T-top formation usually occurs between exposure and PEB, the spontaneous room temperature deblocking eliminates any delay, thus PED effect free resist can be obtained. In this approach, the ketal resist system (KRS) using methoxy propene protected polyvinylphenol was used. The advantages of low activation energy are not only environmental stability but also large bake latitudes with PEB variation as low as 0 nm/degrees Celsius. Besides ketal, silyl ethers and acetals are the other two distinct resist families which have lower activation energy than t-butoxycarbonyl and t-butyl ester systems. According to many recent publications, the acetal resist systems have demonstrated some environmental robustness and insensitivity to bake temperature variation. In order to shed some light on the lithographic performance of these low activation energy resist systems, we present here some of our work on acetal resist family in comparison to ketal family. In the acetal resist family, we have evaluated a few protecting groups which include ethoxy ethyl, propyloxy ethyl and isobutyloxy ethyl. Since ethoxy ethyl had better polymer properties than other acetal groups, most lithographic work was done on this protecting group in comparison to ketal groups. The experimental findings suggest that the environmental stability in the acetal system is inferior to the ketal system. Since the reaction is completed during exposure, ketal system is completely insensitive to the bake temperature. Acetal system can have large bake latitudes (PAB & PEB) only if the resist formulation contains large amount of basic additive, e.g. ethoxy ethyl protected polyvinylphenol resist with significant amount of basic additive gives only 3 - 4% change in Eo when PEB temperature changes from 90 to 130 degrees Celsius (PAB 120 degrees Celsius), and 4 - 5% change when PAB temperature changes from 100 to 120 degrees Celsius (PEB 110 degrees Celsius).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A high-sensitivity silylation process for 193-nm lithography has been developed by applying chemically amplified resist. A positive high-sensitivity silylation process has been achieved using the chemically amplified negative tone resist for deep UV. Excellent sensitivity (less than 5.0 mJ/cm2) was obtained with on this process. Comparing this process with the polyvinylphenol (PVP) process having low sensitivity (100 mJ/cm2), no disadvantage can be observed. The pattern profile using chemically amplified resist is equivalent to that using PVP, its resolution is 0.14 (mu) L/S. The new process has a depth of focus of 0.6 micrometer for 0.14 (mu) L/S and good linearity to 0.14 (mu) L/S using a conventional binary mask. Further, a resolution of 0.10 (mu) L/S was obtained with a sensitivity of 5.3 mJ/cm2 using a Levenson-type mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The post-exposure delay (PED) stability of several chemically amplified DUV resists in unfiltered environments is shown to be strongly dependent on the standing wave intensity. The use of a bottom antireflective layer diminishes the rate of CD change for UVIIHSTM, UVIIITM, APEX-E and UV5TM resists by a factor of three or greater. Increasing the post exposure bake to diffuse outstanding waves results in a three to six fold improvement with UVIIHS, UVIII, UV5 and UV6TM. These resists show the greatest stability when soft baked at high temperatures to reduce the diffusion rate of airborne contaminants, and post-exposure baked at high temperatures to diffuse out the standing wave pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two main parameters are expected from the thermal analysis of chemically amplified resist systems, namely the glass transition temperature and the temperature of deprotection. Due to the large heat flow involved in the deprotection reaction, this thermal event is generally easily monitored using conventional differential scanning calorimetry (DSC). Conversely, the glass transition signal, corresponding to slight changes in the heat capacity of the resist material, is often hidden by or convoluted with the deprotection reaction. This problem constitutes a limitation on the understanding and modeling of these resist systems. The recently introduced modulated temperature DSC (MT-DSC) technique allows the separation of Tg and the deprotection reaction signals, and therefore provides experimental solutions to unsolved basic questions foe each step of the lithographic process. This study presents the first results on the thermal characterization of chemically amplified systems using MT-DSC. All chemically amplified systems, both positive or negative tone, presenting or not a Tg signal convoluted with the deprotection signal, can be completely characterized using this technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In KrF or ArF resist processing, a chemically amplified resist is widely used for ULSI device fabrication. Due to the catalytic reaction of generated acid, decomposition of a positive resist or cross linking of a negative resist is amplified during post-exposure baking. In order to take into account these characteristics during resist simulation, a resist simulator based upon the percolation theory is developed, and the acid and product distributions during post exposure baking are iteratively calculated. Thus, we can conclude that the acid and product distribution in resist film are time dependent. Moreover it is necessary to develop using percolation theory a resist simulator that can take into account macroscopic feature changes from microscopic molecular structural change. The dissolution rate curve and distribution of acid diffusion length are derived with percolation theory. Then the distribution of the product that corresponds to decomposition in a positive resist is calculated. When we increase the acid thermal diffusion enough to reduce the standing wave effect while keeping it small enough not to reach neighboring patterns, the contour lines of product distribution from the thermal catalyst reaction move vertically rather than horizontally. (This is not a molecule movement.) By using these features, the resist rectangularity is improved and the DOF is chemically enlarged.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Methods used in the extraction of lithographic modeling parameters for simulation packages such as PROLITH/2 are examined. The results reveal hitherto unconsidered aspects of the development process which, when implemented in the simulations, give improved agreement with practical results with regard to characteristics such as resolution, depth-of- focus, linearity and dense/isolated bias. These refinements, which are particularly influential in the sub-half-micron regime, include the variation in photoresist dissolution properties as a function of depth into the resist film and also a small but powerful development 'notch' which is observed in the development rate versus PAC concentration curve as it approaches the minimum dissolution rate. This work therefore shows that current development models may not be adequate for some applications, and that great care must be taken in deriving and using the correct set of parameters for any one situation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we propose a model to simulate photoresist development based on continuity equations. In the resist dissolution process, to consider different components (developer, resist, reaction by-product, etc.), each component's local concentration is described by the continuity equation. The resist development process is viewed as the diffusion-reaction process of all components involved in the dissolution process. A simulation program has been written based on the above concept. The simulation for different previous existing models are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the past, resist parameters (exposure and development parameters) were typically only available for a single set of processing conditions. Therefore, it has been impossible to explore the effect of processing conditions on resist performance using simulation. In this work, a statistical experimental design and response surface analysis technique was used in conjunction with our improved parameter extraction techniques to investigate the effect of processing conditions on the exposure and development parameters for a commercial i- line resist. The effect of soft bake time and temperature on the exposure parameters and the effect of soft bake temperature, soft bake time, post-exposure bake temperature, and post-exposure bake time on development parameters is discussed. Using this information, it is possible for the first time to consider optimizing resist processing conditions using lithographic simulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Remarkable progress has been made in the formulation of chemically amplified resists for deep-UV (DUV or 248 nm) lithography. These materials are now in general use in full scale manufacturing. One of the deterrents to rapid and universal adoption of DUV lithography has been the combination of high cost of ownership and a narrow process latitude when compared to conventional i-line process alternatives. A significant part of the high cost of the DUV process is associated with installing and maintaining special air handling equipment that is required to remove basic contaminants from the ambient. Manufacture process latitude demands this special air handling. The chemically amplified resists were developed originally to support mercury lamp powered exposure systems. The sensitivity realized by chemical amplification is required to enable useful productivity with such systems that generate very little DUV flux at the wafer plane. With the advent of high powered excimer laser based illumination systems for 248 nm steppers and step-and-scan systems, it is appropriate to re-examine the applicability of non-chemically amplified DUV resist systems. These systems are less sensitive but have the potential to offer both lower cost of ownership and improved process latitude. A series of photoactive compounds (PACs) have been synthesized and auditioned for use in the formulation of a non-chemically amplified 248 nm resist. The most promising of these materials are analogs of 3-oxo-3-diazocoumarin. This chromophore displays photochemistry that is analogous to that of the diazonaphthoquinones (DNQ) that are the basis of i-line resist formulations, but it bleaches at 248 nm. Several structural analogs of the chromophore have been synthesized and a variety of ballast groups have been studied with the goal of enhancing the dissolution inhibition properties of the molecule. The diazocoumarin PACs have been formulated with customized phenolic resins that were designed to provide the combination of optical transparency, dry etch resistance and the dissolution characteristics that are required for manufacturing applications. The resins are copolymers of poly(4-hydroxystyrene) and blends of these polymers with novolac.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The dissolution characteristics and the free volume of the chemically amplified resists were studied for the improvement of their environmental stability. In the case of the environmentally unstable t-Boc type resist, we have found that the resist film after 1 hour PED under the 10 ppb NH3 atmosphere showed the decrease of dissolution rates with increasing exposure energies. This fact suggests that it is important to select an appropriate combination of a photoacid generator (PAG) which generates a weak acid and a protecting group which can be easily deprotected under the weak acidity condition. As an example for the resist which deprotection activation energy was small, we examined the development behavior of acetal type resists. Among acetal type resists there are differences for the airborne contamination stability. These differences are caused by the kind of PAGs or solvents. Using the measured results, CD changes and pattern profiles were calculated by photolithography simulator 'PROLITH/2'. It was able to explain the real behavior of the resists. As for the kinds of resist solvents, some structural changes in the resist film may occur by the resist solvent. Especially, the free volume in the resist film correlates to the amount of airborne basic contamination. To estimate the free volume in the resist film, we applied a new technique which was called a 'positron annihilation.' We observed that the free volume in the resist film depended on kinds of solvents. Using these experimental results, a resist which had good PED stability was developed. This resist resolved 0.20 micrometer lines and spaces pattern with good profile using KrF excimer laser stepper and it had also excellent stability for airborne basic contamination and substrate influences.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The lithographic performance of a chemically amplified resist system very much depends on the photo-generated acid structure. In a previous paper, we reported the molecular structure dependence of two typical photo-generated acids (aromatic sulfonic acid and alkyl sulfonic acid) from the viewpoints of lithographic performance and acid characteristics such as acid generation efficiency, acid diffusion behavior and acid evaporation property. In this paper, we evaluate the effect of the remaining solvent in a resist film on the acid evaporation property. Four types of two-component chemically amplified positive KrF resists were prepared consisting of tert-butoxycarbonyl (t-BOC) protected polyhydroxystyrene and sulfonic acid derivative photo-acid generator (PAG). Here, a different combination of two types of PAGs [2,4-dimethylbenzenesulfonic acid (aromatic sulfonic acid) derivative PAG and cyclohexanesulfonic acid (alkyl sulfonic acid) derivative PAG] and two types of solvents (propylene glycol monomethyl ether acetate; PGMEA and ethyl lactate; EL) were evaluated. The aromatic sulfonic acid was able to evaporate easily during post exposure bake (PEB) treatment, but the alkyl sulfonic acid was not. The higher evaporation property of aromatic sulfonic acid might be due to the higher vapor pressure and the longer acid diffusion length. Furthermore, the amount of aromatic sulfonic acid in the PGMEA resist was reduced by more than that in the EL resist. The amount of acid loss also became smaller at a higher prebake temperature. The concentration of the remaining solvent in the resist film decreased with the increasing prebake temperature. We think that the acid evaporation property was affected by the remaining solvent in the resist, film; the large amount of remaining solvent promoted the acid diffusion and eventually accelerated the acid evaporation from the resist film surface in the PGMEA resist. In summary, the acid evaporation property depends on both the acid structure and the remaining solvent in the resist film. These results can be applied to other chemically amplified resist systems to suppress the T-topping profile and achieve a superior resist performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A general reaction/diffusion PEB model is applied to IBM APEX- E, Shipley UVIIHS, and an IBM experimental negative tone resist (ENR). Parameter extraction is carried out from development rate data (DRM) and specialized exposure experiments without the need for specialized equipment. APEX-E has the highest (Delta) CD/(Delta) TPEB (approximately 18 nm/degree Celsius) of the group. This is attributable to a nonlinear acid diffusion mechanism due to the PEB temperature which is low in relation to its glass transition temperature (Tg). UVIIHS achieves a (Delta) CD/(Delta) TPEB of approximately 10 nm/degree Celsius due to PEB processing close to the material's Tg, but varies as a function of feature type. ENR achieves a sensitivity of approximately 9 nm/C for the nearly full thickness of resist to remain. The general DUV model proved to apply to resists of very different mechanisms and processing conditions. Comparison of the energy density required for electron beam exposures shows a sensitivity an order of magnitude lower than with optical exposure for all resists and this is likely attributable to a different mechanism in the energy transfer to the photoacid generator.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deblocking reaction mechanisms and lithographic performance in chemically amplified positive KrF resist were investigated by analyzing acid concentration and blocking level. The resists consist of tetrahydropyranyl (THP) or tert-butoxycarbonyl (t- BOC) blocked polystyrene as the base resin and 2,4- dimethylbenzenesulfonic acid derivative as a photoacid generator (PAG). The deblocking reaction mechanisms and activation energy of the deblocking reaction were evaluated from Arrhenius plots of the deblocking reaction rate constant kd. It was found that the deblocking reaction is ruled by two rate-determining steps; it is reaction-controlled in the low-temperature region and acid-diffusion-controlled in the high-temperature region. The activation energy of THP blocked resists (THP resists) in the low-temperature region was lower than that of the t-BOC blocked resists (t-BOC resists). The THP groups were deblocked even at room temperature. Then the THP resist was hardly affected by air contamination. This is one of the reasons why the THP resist had good PED stability. Moreover, the linewidth difference between the isolated line and the dense line (iso-dense bias) of the THP resist was much larger than that of the t-BOC resist. It was concluded that the resist with a high deblocking reaction rate at room temperature had a clear advantage for PED stability, and that the activation energy of the deblocking reaction should be high at PEB (post-exposure bake) temperature to reduce iso- dense bias.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Process Development of Chemically Amplified Resists
This new photoresist system extends the capability of the ESCAP platform previously discussed. (1) This resist material features a modified ESCAP type 4-hydroxystyrene-t-butyl acrylate polymer system which is capable of annealing due to the increased stability of the t-butyl ester blocking group. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus previous DUV resists, APEX and UV2HS. Improved stabilization of chemically amplified photoresist images can be achieved through reduction of film volume by film densification. When the host polymer provides good thermal stability the soft bake conditions can be above or near the Tg (glass transition) temperature of the polymer. The concept of annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions and overall formulation coupled with high NA (numerical aperture) exposure systems, affords linear lithography down to 0.15 micrometer for isolated lines with excellent post exposure delay stability. In this paper, we discuss the UV4 and UV5 photoresist systems based on the ESCAP materials platform. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus APEX-E and UV2HS. Due to lower acrylate content, the Rmax for this system can be tuned for feature-type optimization. We demonstrate sub-0.25 micrometer process window for isolated lines using these resists on a conventional exposure tool with chrome on glass masks. We also discuss current use for various device levels including gate structures for advanced microprocessor designs. Additional data will be provided on advanced DRAM applications for 0.25 micrometer and sub-0.25 micrometer programs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep UV technology has improved significantly over the last few years, however the design requirements have also become more challenging. To achieve the design rules being considered at the leading edge of semiconductor manufacturing, we propose that different resist formulations be used for different layers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically amplified resists are notoriously sensitive to substrate contaminations. Such substrates include Si3N4, SOG, SiO2 and TiN. Contaminations can result in deactivation of the acid catalyst, leading to resist footing for positive tone deep UV resists. In this paper we have tested several state of the art deep UV resists on TiN. Through cross-sectional inspections, it was seen that several of the most advanced resists available still suffer from footing on TiN. By varying the process parameters of the TiN PVD process, TiN layers with various ratios of Ti:N were obtained. Variations in TiN composition result in changes of deactivation of acid catalyst. In addition, optical properties of the TiN layer are changed as well, resulting in different resist performances. For resists suffering from footing on TiN, it was demonstrated that footing is related to the nitrogen saturation of the TiN layer. However, for ARCH2 resist series, no resist footing was seen on different TiN layers. For the optimization of deep UV patterning of 0.25 micrometers CMOS metal layers using standard TiN layers, we have tested several resists of the ARCH2 resist series. The series of resists are based on the same resist chemistry. The difference between the formulations is in their absorbance, being 0.21/micrometer, 0.28/micrometer and 0.44/micrometer for ARCH214, ARCH212, and ARCH200, respectively. It was seen that with transparent resists notching can occur due to substrate roughnesses. By increasing the resist thickness and/or the resist absorbance, notching was minimized.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
N. R. Bantu, Brian Maxwell, Arturo N. Medina, Thomas R. Sarubbi, Medhat A. Toukhy, Hans-Thomas Schacht, Pasquale A. Falcigno, Norbert Muenzel, Klaus Petschel, et al.
A new concept for polymer design is described which can extend the utility of acetal chemistry in the development of advanced chemically amplified deep-UV resists for KrF excimer lasers. Many acetal blocked polymers only impart marginal thermal flow properties to the photoresist matrix. This polymer design concept can overcome this thermal flow deficiency and also improve photoresist contrast and resolution. This concept involves the formation of crosslinked acetal polymers from linear acetal blocked polymers via acid labile crosslinks. The resulting branched polymers have increased Tg and therefore impart improved thermal flow properties to the resist. Furthermore, the acid lability of the crosslinks results in a large molecular weight differential between exposed and unexposed areas of the resist leading to larger dissolution rate discrimination between exposed and unexposed regions. The ultimate result is improved resolution capability of the resist system. This design concept has been incorporated into the ARCH3 resist series.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The increase in dissolution rate brought about by the addition of salt to the developer is caused by the difference in the diffusivities of the OH- ions of the base and the anions of the salt. Adding salt increases the flux of cations into the film allowing the flux of anions to increase too. The faster OH- ions, which alone control the dissolution of the resin film, benefit more from this opportunity than the anions of the salt. At very high salt concentrations a retardation-of-dissolution effects sets in that can be understood in terms of a competition of different types of ions for the available percolation sites.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study focuses on the influence of PEB conditions (temperature) on critical dimension (CD) variations observed in I-line lithographic processes. As PEB effects are also related to the thermal history of the resist film, soft bake (SB) conditions have also been considered as an additional parameter. For given SB and exposure conditions, the CDs remain constant up to a defined PEB temperature at which a clear change can be seen. After this transition, the CD dimensions again remain almost constant as the PEB temperature is further increased. This behavior is in contrast with the established diffusion models, as this phenomenon does not appear to be thermally activated below and above this transition. The transition is shown to correspond to the Tg of the film measured by DSC and depends on the SB conditions. This phenomenon can be observed for different exposure doses and remains independent of the dose. Again this result does not support the diffusion model, but can rather be explained by molecular rearrangements. Results are presented for different resists namely the O.M.M. OIR32MD and OIR643. This work proposes a new approach to PEB mechanisms based on the viscoelastic properties of the resist. The results obtained provide a better understanding and control of CD variations during this process step. They should also be of assistance in good modeling of the lithographic process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Poster Session: New Materials and Characterization Methods
Many of the strategies for sub 0.25 micrometer lithography depend on chemically amplified resists to provide sensitivity. For example, glass damage limits the dose that can be delivered at 193 nm, and source brightness limits the dose that can be delivered in the EUV. However, acid diffusion, an integral part of the chemical amplification process, dramatically affects the lithographic performance of chemically amplified resists. The transport properties of Bronsted acids in glassy polymers have been estimated from a variety of indirect measurements. We have, for the first time, directly measured the diffusion coefficients of acids in polymer films. A quartz crystal microbalance (QCM) was used to make the measurements. The QCM can detect small changes in mass which is indicated by a shift in the resonant frequency of the piezoelectric quartz crystal (see the accompanying paper 'Diffusivity measurements in Polymers, Part III: Quartz Crystal Microbalance Techniques'). The experiments were conducted at different temperatures in order to establish the dependence of the diffusion coefficient on temperature. Acid diffusion in poly(hydroxystyrene) is discussed. The results obtained from the diffusion experiments have been used in lithographic simulation (PROLITH). Results of acid diffusion in poly(hydroxystyrene) are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The optimization of a resist formulation for performance robustness in addition to its basic lithographic performance provides added tolerance to formulation errors. This was demonstrated in this paper by the example of OiR-32 resist technology. The optimized components and the efficiency of the chemical interaction system between the novolak and the PACs of this resist are directly responsible for its robust performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PHS) modified with isopropyloxycarbonate groups is described. The inert blocking group is attached to the hydroxyl sites on PHS resin to slow the dissolution rate and make the resin useful in resists designed for 0.263 N TMAH developers. A negative tone resist (CGR-IP) that is formulated with the modified polymer is compatible with the industry standard 0.263 N TMAH developer and is capable of resolving 0.22 micrometer L/S features and 0.14 micrometer isolated lines on a 0.50 NA imaging system. Reaction with PHS resin occurs primarily at the phenolic sites as shown by carbon-13 NMR and 10% protection is sufficient to lower the dissolution rate to an acceptable level so that there is less than 50 angstrom film loss in exposed areas. The blocking group described here is not acid labile and reaming intact after the resist film is baked at 150 degrees Celsius.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Processes Using Antireflective Layers/Top-Surface Imaging
Due to inherent planarization effects when coating on topography, both the resist and organic bottom anti reflective coating (BARC) thicknesses vary, as do the final reflectivity and critical dimensions (CD). As a consequence, determination of the optimal BARC thicknesses and prediction of the lithographic performance, taking into account topography effects over the whole chip, are not easy. Lithographic performances are thus usually measured or calculated using modeling over plane wafers. In this paper, we propose a practical representation of the lithographic performance of the BARC/resist bilayer and a simulation algorithm allowing determination of both the optimal BARC thicknesses and the lithographic performance window over the whole chip. Practical examples are given demonstrating the role of such a simulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Process improvements attributed to the use of bottom anti- reflective coatings (B.A.R.C.s) are well documented. As our experience with these materials improves, so does our understanding of additional optimization. Recent supplier experiments suggest an increase in the thickness of AZR BARLiTM (bottom anti-reflective layer i-line) solution to reduce photoresist swing curve ratios. Also, changes in thin film stack on common substrates can adversely affect the degree of photoresist reflective notching. It is therefore of extreme importance to determine optimum thickness(es) of a B.A.R.C. material to ensure maximum process potential. We document several process effects in the conversion of a SRAM test device (0.38 - 0.45 micrometers) from a 650 angstrom to a 2000 angstrom BARLiTM film thickness using conventional i-line photolithography. Critical dimension (CD) uniformity and depth of focus (DOF) are evaluated. Defect density between the two processes are compared before and after etch employing optical metrology and electrical test structures. Sensitivity of overlay as a function of BARLiTM film thickness is investigated as well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new methodology using the admittance diagram is proposed for optimization of an antireflective layer (ARL) and the simple ARL optimizer with its own 2D and 3D dynamic graphic tools is developed. Under the methodology, the overall dependency of the reflectivity on optical properties of ARLs can be viewed from a single 2D graph, and the tolerance of process step for the optimally designed ARL can be evaluated geometrically. And also, the optimal condition of an ARL for DUV lithography process is determined by our optimizer and its performance is simulated from our own lithography simulator based on rigorous vector theory. Finally, the effect of ARLs are investigated experimentally, and their results are compared with simulation results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Top-surface imaging process by silylation (TIPS) has been suggested as an attractive solution to cope not only with limitation of resolution and process latitudes but also with line width variations due to reflections over steps. However this technique has not received a wide acceptance as a production worthy process until now, because of the stringent requirements on suitable silylation and dry development equipment that have good uniformity and good reproducibility. In a parametric study of TIPS dry development steps, we found the most important factors in the first step and the second step respectively. The optimized process demonstrated good etch rate uniformity and excellent 0.17 micrometer dense and isolated pattern of gate and islands pattern of capacitor in 1 G bit DRAM device. Their profiles were vertical and uniform within a wafer, while the proximity effect between dense and isolated pattern of gate remained 0.01 micrometer. In islands pattern, wider process margins of both local depth of focus (LDOF) and exposure latitude (EL) could be obtained and excellent 3(sigma) value of critical dimension (CD) uniformity within a wafer confirmed better applicability to 1 G bit DRAM and beyond. When silylated resist patten was transferred into substrate layer CD bias and uniformity could be controlled less than 0.02 micrometer. There were also no residues after both photoresist strip and induced polymer removal step. From these studies. TIPS process using cluster tool of silylation system made by LRC and TCPTM9400TMSE etcher for dry development was demonstrated a production worth process for the sub-0.20 micrometer lithography in terms of obtaining finer pattern without pattern problems and a reliable process for 1 G bit DRAM and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report the study of a novel class of resists designed to be coated from and developed in pure water, avoiding both the need for the traditional organic solvents and the developers containing organic bases in aqueous solution. We have previously reported on the design of several negative tone resists that operate on the basis of radiation-induced crosslinking. The performance of these negative tone systems meets our fundamental objective of coating from and development in pure water, but their design involving the crosslinking of a matrix polymer limits the resolution that may be achieved because of the swelling that occurs during development. We have now explored novel designs involving positive tone water developable resists that may help alleviate this limitation. For example, water-soluble polymers containing pendant oxazoline units may be insolubilized in situ through their heat-activated reaction with additives containing carboxylic acid groups. Exposure to UV radiation is then used to cleave these solubility modifiers hence restoring solubility to the exposed areas. Analogous materials that involve the addition of divinyl ethers to poly(acrylic acid), followed by photogenerated acid cleavage of the crosslinks have been used generate water-developed positive tone images.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alkyl-substituted-onium halides (tetraalkylammonium and trialkylsulfonium halides) are investigated for possible application as an acid diffusion controller in a chemical amplification positive resist. The resist sensitivity became lower as their concentration increased because these additives can trap the catalytically active acid to produce a neutral onium salt and a catalytically inactive acid in the resist matrix. The contrast of the resist with tetramethylammonium iodide (TMNI) and trimethylsulfonium iodide (MESI), which can both produce hydrogen iodide was more than two times higher than without an additive, while the resists with other onium halides showed no evidence of contrast enhancement. An experiment on the acid diffusion range showed that the diffusion range of these iodides was much lower than with no additive, and MESI exhibited a smaller diffusion range than TMNI. This indicates that MESI is the most effective diffusion controller. Patterning of resists was carried out with an e- beam lithography system at 50 kV. The resist with MESI exhibited a remarkable resolution enhancement. It can produce high resolution patterns (90-nm contact holes) at a dose of 16.2 (mu) C/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The resists for SR lithography require higher contrast (gamma value) for the current weak ability of the masks to cutoff x- ray beam as well as the high sensitivity for improving of throughput. Unfortunately, gamma values have not been estimated invariably because the method to obtain a quantitative gamma value has not been established. Little attention has been paid to the acquirement of the accurate gamma value of the resist. We have formed a fitting equation to the sensitivity curve to quantitatively investigate the resist contrast. We have evaluated tert-Butoxycarbonyl (tBOC) based chemically amplified resist. This resist showed good resolution but low gamma value. This result is due to the incomplete tBOC elimination during exposure and post exposure baking (PEB) process. We have simulated the amount of chemical reaction in the resist and the sensitivity curves. From these results, it is concluded that the most effective way to achieve a high gamma value is not only to increase the reactivity of decomposition reaction with an acid catalyst but also to augment the initial substitution ratio.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An overview is given of how the design of photoacid generators (PAGs) is critical to tuning both the lithographic and thermal properties of chemically amplified resist systems. The importance of PAG chemistry is illustrated with an ARCH resist system that contains acetal protecting groups removable with low activation energies. We detail the effect of PAG on the resist's resolution, depth of focus and thermal decomposition temperature.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Triarylsulfonium salts are among the most commonly used photoinitiators in the fields of radiation sensitive coatings and microlithography. In this paper, assorted sulfonium salts are evaluated as photoacid generators for DUV microlithography. The preparation of assorted triarylsulfonium salts from commercially available triarylsulfonium chloride is described. Analysis of this class of photoacid generators revealed that it comprises a mixture of triarylsulfonium cations. These materials are essentially complex mixtures derived from the various sulfonium cationic species which are present in the starting triarylsulfonium chloride. In order to better understand the unique properties of these photoacid generators, we focused on identifying the major triarylsulfonium cations present in the mixture. This paper describes the synthesis, identification and lithographic characterization of each of the components of this class of photoacid generators. The identity of each component was verified spectroscopically (1H and 13C NMR, IR and UV) and the compounds were also characterized by thermogravimetric analysis. The acid generating efficiency of each component was determined using tetrabromophenol blue as a spectrophotometric indicator dye. Lastly, full lithographic characterization of each component was performed and the results compared and contrasted with the triarylsulfonium mixtures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a chemically amplified photoresist for use in ArF lithography based on alicyclic polymer. 3- Bicyclo(2,2,1)hept-2-yl-3-(2-methyl allyoxy)-propionic acid tert-butyl ester (BHPE) was prepared as a new kind of protected acid-labile monomer. Terpolymer, poly(BHPE-NBO-MAL), was prepared with BHPE, 5-norbornen-2-ol (NBO), and maleic anhydride (MAL) monomers by radical polymerization. Photoresist of poly(BHPE-NBO-MAL) displayed good adhesion, dry-etch resistance, and development. As a result, we obtained 0.16 micrometer line-and-space positive patterns with 2.38 wt% TMAH aqueous solution using an ArF exposure system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 'developable' chemical image generated in chemically amplified DUV resists is only indirectly related to the photochemical image generated on exposure. As a consequence the factors which ultimately affect the performance of the resist are determined by the thermally activated reactions occurring after the exposure step. For this reason it is important to understand the details of this chemistry in order to understand the effects that changes in formulation and process conditions have on factors such as linewidth and process latitude. In this report we describe studies on the deprotection reactions which occur in films of UVIIHS during the post exposure bake step. Experimentally measured deprotection rate data are compared to simulations employing a stochastic mechanism simulator.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we report here on lithographic performance of high resolution, environmentally stable and aqueous base developable positive tone resist for DUV lithography. There have been a lot of efforts to prevent the resist from suffering from the deactivation of acid during the delay time between exposure and post exposure bake (PEB). The new design of matrix resin containing amide functional group has advantages over current lithographic techniques. The effects of amide functional group as a basic additive in a chemically amplified resist was investigated. A new class of matrix resin containing amide functional group, poly(hydroxystyrene-co-t- butyl acrylate-co-3-(t-butoxycarbonyl)-1-vinyl-2-caprolactam), was developed. It showed 0.20 micrometer lines/spaces patterns of this resist using KrF excimer stepper (NA 0.55, partial coherence factor 0.55) with an exposure dose of 25 mJ/cm2. This resist showed no change of pattern profile after 2 hours post exposure delay in which ammonia concentration is 5 ppb. 3-(t-butoxycarbonyl)-1-vinyl-2-caprolatam (BCVC) unit as a basic additive can not only solve amine contamination effectively, but also improve the resolution of the resist. BCVC unit reduces the diffusion of acid and it results in sharp contrast at the interface between the exposed and unexposed areas. Therefore, adding BCVC unit in matrix resin leads to the stabilization of the pattern profile and higher resolution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The photolysis of DNQ-4-sulfonic acid esters yields 3- indenecarboxylic acid-1-sulfonic ester (3-ICA-1-SE). 3-ICA-1- SE reacts to the corresponding sulfonic acid. The rate of the ester cleavage is fast at exposure and slow in the dark. The deep UV lamp or laser exposure using low intensity is most effective for acid generation, pH change and network formation (image reversal).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phenols or polyphenols of low molecular weight are added to novolak resists to increase the dissolution rate. They function as dissolution promoters by introducing additional hydrophilic percolation sites (OH-groups) into the system. All low molecular weight phenols act as dissolution accelerators, but some are also able to increase the image contrast of the material, i.e. the difference in dissolution rate between exposed and unexposed areas of the resist film. Additives that function in this way are those that are included in the phenolic clusters formed by the inhibitor. It appears that the criterion for inclusion in the clusters is the acidity of the OH-groups of the additive.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the continuing drive to control and improve resist performance, the need to monitor and control all aspects of the resist has become more important. The presence of acids in the resist can have a significant impact on the performance. Monitoring acid levels in diazonaphthoquinone PACs is an area that has not had much attention to date. A procedure has been developed to measure acid levels in these compounds. The procedure uses Congo red dye as an acid indicator in aqueous THF and monitors changes spectrophotometrically. The method is capable of measuring acidity of resist components in the 10 to 100 ppm range. This procedure has been applied to the forced aging of dry diazonaphthoquinone PACs. The results show that the 2,1,5 isomer decomposes faster than the 2,1,4, isomer. The method is sufficiently general that it can be used to monitor acid level in other resist components or in resist solutions (see also accompanying paper #3049-50).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
All photoresists have finite shelf lives where lithographic properties and performance remain within acceptable specified ranges. Slow but perceptible decomposition of the diazonaphthoquinone (DNQ) photoactive compounds (PAC) result in the evolution of nitrogen gas and acidic by-products which may begin to affect functional behavior. This study looks at the relative increase in acidity of aged PAC solutions using a very sensitive spectrophotometric measurement technique based upon Congo red indicator (see accompanying paper 3049- 49). The technique was used to assess the main resist component combinations which accelerate acid generation. Major effects indicated that particular combinations of PAC isomer and solvent greatly affected the reactive generation of acidic species in solution. In general, the 2,1,5 isomers of both novolac-based or hydroxylated benzophenone PACs were less stable than their 2,1,4 counterparts in all of the tests. The supposition that acidity was caused by PAC decomposition was substantiated by degassing studies which parallelled the acid measurements indicating that the 2,1,5 PACs were less stable than the 2,1,4 isomers. Results may be useful in formulating resists with extended shelf lives.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reduction in critical dimension (CD) variation is essential for the production of today's integrated circuits. Reduction in the variability of process parameters such as resist thickness, bake temperatures, exposure dose, and developer concentration has a positive effect on the measured CD variability. Developer concentrations can be controlled by chemical suppliers within plus or minus 0.0005 N in a developer solution with a normality of 0.2620 N. A developer blending system has been successfully used for on-site blending of tetramethyl ammonium hydroxide (TMAH) with a variation in concentration of less than plus or minus 0.2% relative error at 3(sigma) . This study evaluates the variability of the final concentration and surface tension of a TMAH developer that was blended using a ChemLithoTM Model 3000 Developer Blending System designed by FSI International and tested at Cypress Semiconductor Inc., Bloomington, Minn. In addition, the variability of energy required to clear resist (E0) and of critical dimensions was examined on experimental wafers that were developed using product from this developer blending system. The desired final concentration of the TMAH was 0.2620 N with a surface tension of 42.5 dyne/cm. The measured variability of the TMAH concentration was less than plus or minus 0.2% relative error at 3(sigma) . The measured variability of the surface tension was less than plus or minus 1.5 dyne/cm. This on-site blending capability has eliminated the effects of shipping and handling on the product purity and concentration, provided real-time monitoring of the developer concentration, and provided positive photoresist developer within specification at a significant cost savings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Novolak resins are synthesized by a condensation reaction using a mixture of cresylic acids with a formaldehyde source [paraformaldehyde, trioxane or aqueous (37%) formaldehyde] in the presence of an acid catalyst. After the condensation process, the unreacted cresols, water and solvents are removed using a high temperature (approximately 210 degrees Celsius) vacuum (approximately 20 mm Hg) distillation. The resin is isolated as a molten solid or in solvents such as propylene glycol methyl ether acetate, ethyl lactate, or ethoxy ethyl propionate. During this process, molecular weight changes were noticed. The molecular weight changes were attributed to the presence of residual acid catalyst and the high temperature distillation process. In order to minimize the molecular weight changes, an effort has been made in our laboratories to study the effect of acid removal after the condensation process. Novolak resins with consistent molecular weights could be synthesized using the ARAC process. This paper deals with the novel ARAC process, which has made a major impact on consistency of novolak resins and lithographic characteristics of the resist formulations made with them.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The thin film dissolution behavior of phenolic resins such as poly(4-hydroxystyrene) and poly(4-hydroxystyrene-co-t-butyl acrylate), the dissolution inhibition effect of acid generators, and the development kinetics of the ESCAP resist are reported. All the dissolution rate measurements were carried out using a quartz crystal microbalance (QCM), which can provide valuable information on the time-dependent dissolution behavior even for an extremely fast rate of 30,000 angstroms/sec. Poly(4-hydroxystyrene)s with a wide range of molecular weights (Mn equals 2,300 - 26,000) and molecular weight distributions (1.2 - 5.5) have been found to dissolve linearly with time in a 0.21 N tetramethylammonium hydroxide (TMAH) aqueous solution. The dissolution rate of the copolymers decreases exponentially with an increase in the acrylate concentration, accompanied by an increase in an induction period. The dissolution inhibition effect of acid generators varies widely. Onium salts are excellent dissolution inhibitors, even stronger than so-called dissolution inhibitors such as t-butoxycarbonyl-protected bisphenol A. A higher loading of triphenylsulfonium triflate in the copolymer film results in a longer induction period and an exponentially slower dissolution rate. The ESCAP resist based on the copolymer begins to dissolve in a 0.26 N TMAH solution at only ca. 5% conversion of the t-butyl ester to carboxylic acid and reaches its maximum dissolution rate of 30,000 angstroms/sec at the dose to clear with ca. 60% conversion, which corresponds to the developer selectivity of greater than 15. Although the dissolution rate does not change much above the dose to clear, the induction period becomes shorter at a higher dose, which can be observed by QCM even when the full development time is less than 1 sec.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Three sets of data are required to model a non-chemically amplified photoresist: the exposure or ABC (Dill) parameters, the development parameters, and the refractive index. The refractive index of photoresists has often been considered constant in simulations and other work. However, the refractive index can change as the chemical composition of the photoresist is modified during the exposure reaction. This work presents a study of the refractive index as a function of exposure for a series of commercial, nonchemically amplified DNQ/novolak photoresists using spectroscopic ellipsometry. It was found that the real part of the refractive index for a photoresist changes by as much as 5% at the wavelength of exposure, in part due to the resist bleaching which removes the anomalous dispersion contributions to the refractive index. A discussion of the impact of such refractive index changes on Dill parameter extraction and the simulation of standing wave patterns are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In a previous paper, we described the performance of near- monodisperse novolak resins obtained through supercritical fluid extraction. The low molecular weight partner was found to be the key element for high contrast and high resolution of the reconstructed tandem resists. In this paper, we use 13C NMR spectroscopy to further explore the microstructure and the composition of each fraction. The results show that the percentage of ortho-ortho methylene bond (%o-o') remains relatively constant throughout all the fractions. However, the %o-o' unhindered CH2-bond is higher for the low molecular weight fraction than for that of the high molecular weight fraction. Also, the p-cresol to m-cresol ratio (p/m) is not constant among all the fractions. The p/m ratio of the lower MW fraction is greater than 2, then quickly reduces to 1 and then gradually decreases to 0.6. Since the %o-o' unhindered CH2- linkage is mainly the bond between p-cresol unit, it is expected to see that as the p/m ratio increases, the %o-o' unhindered bond increases. The good lithographic performance of some of the tandem resist described previously is closely related to this high ortho-ortho linkage. However, as the amount of low MW partner increases without corresponding adjustment of PAC loading, microgrooving is observed. We discuss the effect of PAC loading on the lithographic performance in these low molecular rich formulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Typical phenol/formaldehyde resin syntheses generate a broad distribution of molecular weight fragments with a wide polydispersity. A process was developed to isolate novolak resin fractions of narrow polydispersity from phenol- formaldehyde condensation products in ethyl lactate without any high temperature distillation. The characterization and the performance of these fractions in i-line photoresist composition are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
1,2-Napthoquinone diazides function as both photoactive dissolution inhibitors (PAC) for i-line positive resists and as photoacid generators (PAG) for chemically amplified resists. Traditionally, the 5-sulfonyl esters of 1,2- napthodiazoquinones (DQ) are used as PACS and recently the 4- sulfonyl (DQ) esters function as both PACS or PAGS. Knowledge of the thermal stability of the DQ is important for defining process conditions that stabilize the films prior to exposure or in post-treatments involving specific thermally induced reactions of PAC and resin. The thermal decomposition and photochemical decomposition of 1,2-napthodiazoquinones (DQ) follows similar pathways with the expulsion of nitrogen followed by formation of a reactive ketene. In absence of water (to form the indene carboxylic acid) many participatory bimolecular pathways involving reactant, products and resins are possible. Studies of the rate of decomposition of esters indicate that of 1-naptho-2-diazoquinone-4-sulfonyl derivatives are more thermally stable than the 5-sulfonyl counterparts and afford longer solution shelf life and higher thermal decomposition temperatures of 130 - 150 C. In addition, the 4-sulfonyl substituted DQ can form sulfonic acids upon thermolysis and hydrolysis. In solution, the napthodiazoquinones decompose at faster rates with lower activation energy (20 - 30 Kcal/mole) than in the solid state with higher activation energies of 40 - 50 Kcal/mole. When the DQ dissolution inhibitors are dispersed in resins, the interaction of the resin and DQ can influence the stability of the PAC. DQ mixed with novolak are more thermally stable than when blended with polyhydroxystyrene. The higher thermal stability of the 4-sulfonyl DQ esters is attributed to the electron resonance deactivation of diazo group by the sulfone subsituent.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to reduce the defect density of resist structures, a general optimization of surface tension was developed and successfully applied, using Si, SiO2, Si3N4, AlCu, WTi and Cr as substrates, modified by priming. We demonstrate that the contact angle water (Theta) w can be used to reach the optimal conditions for adhesion of resists. We use models based on the Young and Dupre equations and the model of interaction of molecules by Wu to determine the surface tension and work of adhesion. Good resist adhesion results if the work of adhesion is greater than 5 dyn/cm. We outline preferred process windows for the contact angle for certain combinations of different types of resist and developer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The present paper describes the first chemically amplified negative-tone resist for deep x-ray lithography (DXRL). The choice of the resist material for this new resist has been oriented on the experience of the photo, electron beam and x- ray lithography (XRL) for microelectronic applications. In this work a negative tone resist containing a novolak, a crosslinker and an acid generator was developed by varying the different components. It was found that only few components, which proved to be good in thin films, were suitable for DXRL. The new resist fulfills all technological requirements and shows an increased sensitivity by a factor 15 as compared to the standard resist material, poly(methyl methacrylate). This tremendous increase in sensitivity leads to a huge cost reduction of the DXRL process. Furthermore, an excellent adhesion of this new resist to metallic substrates has been achieved which allows us to fabricate free standing columns with an aspect ratio of 80.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The stability during the storage between EB exposure and post- exposure bake (PEB) of the chemically amplified resist containing diphenylamine (DPA) was discussed. The large dimension change of 0.15 micrometer L/S pattern with 14 (mu) C/cm2 EB dose was observed within 1 hour in a vacuum due to the deprotection reaction. The stability of the resist properties in an atmosphere before PEB (PED instability) depends on the time during which the exposed resist is stored in a vacuum. Keeping the exposed resist in a vacuum for more than about 20 minutes makes PED instability good. This seems to be caused by a dark reaction for about 20 minutes, which occurs in addition to the deprotection reaction and realizes equilibrium between DPA and acid generated by EB exposure. This mechanism by which DPA acts as a superior stabilizing base additive is supported by the time dependence of surface resistance for the resist in an atmosphere.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically amplified resist AZPN114 from Hoechst has been extensively investigated for electron beam lithography at 150 nm resolution and below, using commercial e-beam lithography systems. Experimental design method was used to study the combined effect of pre and post exposure bake conditions on the performance of AZPN114 at 150 nm nominal linewidth. The effects of scanning field size of an e-beam system, the exposure energy, the resist thickness an pattern density, development conditions and post exposure delay have been investigated on resist sensitivity and resolution capability. One hundred nm resist lines with 7:1 aspect ratio and 50 nm resolution have been achieved using AZPN114 with optimized exposure and process conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To advance production processing well beyond 0.30 micrometer design rules, it is considered necessary to introduce deep-UV photolithography. Currently, most deep-UV photoresist systems are based on poly(4-hydroxystyrene) (PHS) resins, which have good thermal properties. When combined with photo-acid generators (PAG) and dissolution inhibitors, the thermal properties of the resulting resists are severely reduced. Since the Tg of these types of advanced resists are in the 100 to 120 degree Celsius region, it is necessary to apply a stabilization process to the resists prior to processing at high temperatures. This study investigates the application of electron beam stabilization processing to deep-UV resist materials. A PHS based deep-UV resist, and a solution of the PHS resin material, have been evaluated to determine the nature of the reactions induced by electron beam exposure. Chemical changes induced in the resist, or resin, are evaluated via FTIR analysis. Changes in optical properties are evaluated using UV/visible reflectance as well as changes in index of refraction. Film shrinkage is determined for all processing conditions. Thermal properties are evaluated by DSC and TGA techniques. The Tg of the processed resist is presented as a function of electron beam exposure. Thermal flow properties are evaluated via SEM cross sections of resist features exposed to high temperatures after electron beam stabilization. Electron beam stabilized films are demonstrated to withstand temperatures in excess of 200 degrees Celsius. The resist or resin materials properties are evaluated as a function of electron beam dose level and stabilization process temperature. Trends in materials properties are evaluated and optimized process conditions are presented for a range of production processing applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, experimental formulations of ESCAP photoresist with two different photoacid generators (PAG) are compared for x-ray and DUV (248 nm) exposures. Sensitivities, chemical contrasts and development selectivities have been derived from dissolution rate and FTIR data collected under similar process conditions. X-ray exposed experimental resists are also compared to a commercial UVIIHS photoresist. Linewidth performances of the x-ray exposed resists are presented at 175 nm ground rules. Relationships between the photoresists contrasts (both chemical and development), dissolution rates of fully exposed and unexposed resists, aerial image properties and linewidth exposure budget are discussed. Effect of a dissolution inhibitor on x-ray linewidth performance is shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Poster Session: New Materials and Characterization Methods
Removal of tough compounds, which are formed during reactive ion etch (RIE) of polysilicon, contacts and vias, is one of the challenges in deep submicron patterned photoresist stripping. A novel UV-excimer laser photoresist stripping method proposed here allows the removal of these hard and mainly inorganic species, usually situated on sidewalls, in one dry step.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have used an i-line negative tone photoresist to define submicron masking features with contact lithography for applications in plasma etching, wet chemical processing, and liftoff. The resist used for our study is the Futurrex NR8 series. It is based on a polyhydroxystyrene resin structure rather than the polyisoprene matrix resin found in most conventional negative resists, and it uses an aqueous alkaline solution instead of an organic solvent for development. We have found this resist to be very thermally stable at relatively high temperatures, and is compatible with various plasma and wet chemical processes. Moreover, through proper selection of lithography parameters, the resist profile can be tailored to accurately obtain positive, vertical or negatively sloped sidewalls for specific applications. We have established liftoff processes of numerous kinds of evaporated and sputtered metals and oxides using the unique profile- controlling property of this resist. We present SEM images and data from a study of the effects of lithography parameters on resist profiles. Also included is the fabrication sequence of a submicron single layer self-aligned liftoff process using the NR8 resist. These results suggest potential applications in a wide variety of processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Finding and retrieving the information you need about microlithography and resist technology in a timely fashion can make or break your competitive edge in today's business environment. Chemical Abstracts Service (CAS) provides the most complete and comprehensive database of the chemical literature in the CAplus, REGISTRY, and CASREACT files including 13 million document references, 15 million substance records and over 1.2 million reactions. This includes comprehensive coverage of positive and negative resist formulations and processing, photoacid generation, silylation, single and multilayer resist systems, photomasks, dry and wet etching, photolithography, electron-beam, ion-beam and x-ray lithography technologies and process control, optical tools, exposure systems, radiation sources and steppers. Journal articles, conference proceedings and patents related to microlithography and resist technology are analyzed and indexed by scientific information analysts with strong technical background in these areas. The full CAS database, which is updated weekly with new information, is now available at your desktop, via a convenient, user-friendly tool called 'SciFinder.' Author, subject and chemical substance searching is simplified by SciFinder's smart search features. Chemical substances can be searched by chemical structure, chemical name, CAS registry number or molecular formula. Drawing chemical structures in SciFinder is easy and does not require compliance with CA conventions. Built-in intelligence of SciFinder enables users to retrieve substances with multiple components, tautomeric forms and salts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Modification of vacuum vapor deposited thin films based on benzo(a)phenoxazone-5 derivatives with C3F8 and SF6 plasma were investigated. X-ray photoelectron spectroscopy (XPS) method was used to identify and study the distribution of surface functional groups of untreated and fluorinated films investigated. It was shown that fluor content in element composition of surface film layers and perfluorocarbon group content in Cls-lines of XP-spectra depended on chemical structure of the initial compounds. The more quantity and size of side substitutes were contained in the compound chemical structure the less was the content of fluor and perfluorocarbon groups in film surface fluorinated layer. The probable way of plasma active particle interaction with film surface is discussed. Using Kaelbe's method the influence of treatment conditions and initial compound chemical structure on surface properties of fluorinated films was studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The addition of selected PACs to resists comprised of selectively esterified DNQ novolacs improves their performance in terms of side wall angle and resolution compared to resists whose photoactive component is composed of entirely selectively esterified DNQ novolacs. The performance gain is particularly evident for the resists with two selectively esterified fractions. A conventional 60/40 m-cresol/p-cresol novolac was synthesized and fractionated into five nearly equal weight fractions using supercritical fluids (SCF) fractionation technique. Resists were made from either a single esterified fraction [fraction Two, esterification level (EL), 42%] or dual esterified fractions (fractions Two and Four, EL 21% each), a selection of PACs and the remaining unesterified fractions. They were compared to a control containing only the corresponding esterified fraction(s). The PACs A and B were effective at increasing the resist profile angle for 0.50 (mu) features in the singly esterified novalacs in comparison to the control material and exhibited flat tops. The resolution and profiles of dual esterified fraction resists improved significantly when low levels of PACs were added to dual esterified fraction control resist. The comparison was made from 0.40 (mu) features. The resist made using PAC C is the best candidate for photospeed although its profile angle is less in comparison to PACs A and B.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a lift-off technique based on a single layer resist system which produces sub-100 nm structures of a metallization layer using a low-voltage electron beam lithography. By using an accelerating voltage of 5 keV an undercut of the resist profile after electron beam lithography is used to interrupt the anisotropically deposited copper layer so that a lift-off process produces structures for metallization layers. The two dimensional simulation of the whole process is based on a Monte-Carlo electron scattering calculation to describe the electron exposure, a cell-removal-algorithm to obtain the resist profiles after wet development and a string-algorithm to simulate the copper deposition. By optimizing this process, where the simulation assists the experiment, structures down to 60 nm are fabricated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In lithographic processing, implementation of a bottom antireflective coating can reduce or eliminate reflective notching and swing effects, thereby improving linewidth (CD) control. We have recently synthesized a series of novel polymeric dyes and evaluated them as bottom antireflective coatings for i-line lithographic applications. This work has led to the development of the BARLiTM II commercial bottom coat material. The BARLiTM II films show high absorbance at 365 nm and excellent coating uniformity. They are tailor- made to yield the optimum values for refractive indices (n and k) for i-line which ensures minimum reflectivity and maximum swing reduction for photoresist layers. BARLiTM II materials are formulated in photoresist compatible solvents to simplify the EBR process and to be environmentally and user friendly. Data presented in this paper also include BARLiTM II thermal stability, resist intermixing test, etch selectivity, swing reduction, lithographic performance, and step coverage profiles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We recently synthesized and studied a number of highly absorptive diketo azo dyes. These materials, existed in the hydrazo tautomeric forms, showed high extinction coefficients, typically (epsilon) approximately equals 25,000 - 39,000 at 365 nm. They also exhibited good solubility in common resist casting solvents such as propylene glycol monoethyl acetate (PGMEA) and ethyl lactate. The thermostability of the materials was investigated. The impact of these diketo azo dyes on i-line resist performance in terms of swing reduction, reflective notching control and lithographic performance is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method has been developed that allows accurate simulation of pattern profiles in photoresist in excess of 10 micrometer thick. The method uses the DEPICTR photolithography simulator to model i-line exposure, bake and development of Shipley SJRR5740 thick film photoresists with an Ultratech 2244i Wafer StepperR. Kim model inputs were estimated from a family of development rate curves obtained by processing wafers with a range of expose energies for logarithmically increasing develop times and measuring thickness change as the develop process occurred. These results were compared with dissolution results obtained using a laser-based dissolution rate monitor. Uncertainties in the measured photoresist absorbence, photosensitivity and refractive index coefficients were estimated and their influence on the simulated results were considered. An optimization procedure and algorithm that allows quantitative comparison of experimental and simulated photoresist profiles is presented. Simulated photoresist profiles were compared with patterns obtained from processed wafers. As a further test of the models, pattern profiles were simulated for 2 micrometer spaces in 10 micrometer thick photoresist through focus. Experimental and simulated pattern profiles from a range of exposure doses were also compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Accurate photoresist modeling parameters are required for correct lithographic simulations. In particular, three sets of data are required to model a typical non-chemically amplified resist: the refractive index as a function of wavelength and exposure, the exposure or ABC parameters (the Dill parameters), and the development parameters. This work focuses on an improved technique for the accurate extraction of development rate parameters for non-chemically amplified resists. Traditionally, the refractive index for photoresists is considered constant in simulations and other work. However, the refractive index of a photoresist varies as the chemical composition of the photoresist changes during exposure. This work presents a rigorous analysis technique for extracting development parameters from resist development rate data which accounts for this refractive index change. Development parameter measurements for a commercial resist are discussed. Comparisons of the various development rate models are made using this experimental data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography simulation has become an increasingly important tool for the semiconductor industry as attempts are made to extend current lithographic technologies. The usefulness of this simulation capability has been somewhat hindered by the lack of availability of accurate modeling parameters for the various commercial resist systems. In particular, three sets of data are required to model a typical non-chemically amplified photoresist: the refractive index as a function of wavelength and exposure, the exposure or ABC parameters (the Dill parameters), and the development parameters. This work focuses on the accurate extraction of exposure parameters for non-chemically amplified resists. Previous exposure parameter extraction techniques such as those proposed by Dill involve a number of simplifying assumptions including the assumption that the refractive index of the resist does not change during exposure and that the index of the substrate is matched to the resist throughout the exposure process. However, the refractive index of the photoresist does vary during exposure as the chemical composition of the photoresist changes. A rigorous analysis technique for extracting exposure parameters which accounts for this refractive index change and other previously ignored factors has been developed. An apparatus has been constructed to perform bleaching experiments on non- chemically amplified resists and this new, rigorous analysis technique has been used to extract exposure parameters for a series of commercial resists. Some of the results of these studies are presented together with comparisons to previous parameter extraction techniques.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The chemistry of coordination complexes in the solid state has been investigated with a view towards developing new methods and processes for the deposition of materials. The processes investigated include the development of spin on metals and photolithographic deposition methods. In the spin on metal process a film of an appropriate complex is spin cast and thermalized to deposit the requisite metal. For photolithographic deposition a precursor film is deposited. Ultraviolet exposure of the films through an optical/UV lithography mask results in the patterning of materials in the exposed regions. The development of this method requires photochemically active complexes which efficiently eject ligands upon photolysis to produce the desired material in a solid state thin film. A generic reaction for the production of metal films is illustrated in equation 1. MLn(thin film) (Delta )hvyields M(thin film)+ n L(g). In this paper the thermal, photo and electron beam induced chemistry of thin films of inorganic complexes of lead and chromium is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Prism coupling is applied to thick film DNQ/novolak photoresist materials in the 4.6 to 24 micrometer range. Refractive indices are obtained as a function of softbake temperature and softbake time for exposed and unexposed resists. The results for AZR P4330 RS and AZR EXP 9244 photoresists are compared at a film thickness of 4.6 micrometer. Each photoresist shows an increase in refractive index at 633 nm with softbake temperature and softbake time and a decrease in refractive index with an increase in exposure. The refractive index changes of the films are correlated to the solvent content as determined by radioactive labeling and by gas chromatography. In thicker films, solvent gradients profiles could be obtained by the application of an inverse WKB approximation to the effective indices. The lithographic performance of AZREXP 9244, a new generation thick film photoresist, is examined at various softbake temperatures and softbake times and interpreted in terms solvent content as obtained from the refractive index.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Simulation of the microlithographic process plays an increasingly important role in the manufacturing of integrated circuitry. Unfortunately, most lithography simulations still lack fundamental relationships that link the resist chemistry and the final resist image. This study is directed towards generating the data necessary to quantify one of these relationships, the effect of residual casting solvent on the resist image. The amount of casting solvent was measured as a function of the post apply bake temperature and time for several casting solvents directly by using liquid scintillation counting. These measurements were carried out on four identical diazonaphthoquinone-novolac resist formulations cast with different radio-labeled casting solvents (ethyl cellosolve acetate, PGMEA, diglyme, and ethyl lactate). From these data we have estimated the diffusion coefficients for the solvents and the dependence of these coefficients on temperature. These data are then convolved with dissolution parameters and Dill parameters to isolate and establish the relationships between these parameters and the post apply bake process that controls the amount of residual casting solvent.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several approaches toward the design of non-chemically amplified deep UV resists have been reported using different photoactive compounds and resins. Diazo-dione chromophores are particularly attractive for this application, but the keto- ketenes derived from their photolysis are more reactive than those derived from the analogous diazonaphthoquinones. Specifically, the 30-diazo-4-ketocoumarin chromophore has been identified as a promising candidate around which to design a non-chemically amplified 248 nm resist. In order to optimize the design of this system, the influence of both electronic and steric influences on the rate of reaction of the ketene with novolac resin has been studied. These experiments were carried out using a low temperature matrix isolation FT-IR technique similar to that previously reported. The reaction of the ketene with the resin hydroxyl group follows pseudo first order kinetics and the rate constant is dependent on the substituents and the substitution pattern on the coumarin.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A quartz crystal microbalance (QCM) system has been designed and constructed in order to measure the diffusion rates of several different casting solvents in a photoresist film. The QCM employs an oscillating quartz crystal that is coated with a photoresist film. Changes in mass caused by sorption or desorption of the casting solvent are detected as changes in the resonant frequency of the crystal. One advantage of the QCM is the high sensitivity with which this device can make weight measurements. The sensitivity is on the order of nanograms. This accuracy, along with the ease of data analysis, makes the QCM a particularly attractive apparatus for diffusion measurements. The QCM system consists of a piezoelectric crystal, a frequency counter, an oscillator unit, a detector, and a recorder. During the experiment, the shift in resonant frequency of the crystal with time is monitored, and the diffusion coefficient is extracted by using the method described by Crank. A related QCM technique has been used to study the dissolution kinetics of photoresists. The QCM system has been employed to measure the diffusion coefficients of common casting solvents in novolac films as a function of temperature. Acid diffusion in poly(hydroxystyrene) was also studied by this method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An analytical technique for measuring photoacid in chemically amplified resist using fluorescence spectroscopy has been developed. The range of detection from picograms to micrograms, offers a versatile alternative to standard practices of acid detection such as photometric bleaching by UV-Vis absorption spectroscopy. Quantum yields of photoacid generation were measured for films of poly 4-t- butoxycarbonyloxystyrene, PBOCST, and poly hydroxystyrene, PHS, containing the i-line photosensitizer anthracene. Four distinct photoacid generators, PAGs, were compared, two triphenyl sulfonium salts with triflic acid and trifluoromethyl phenyl sulfonic acid, and two sulfonate esters of an n-hydroxyimide that generate triflic acid and trifluoromethyl phenyl sulfonic acid. The ionic PAGs have a quantum yield of approximately 6 - 8 multiplied by 10-4 and the covalent PAGs range from 2 multiplied by 10-5 to 6 multiplied by 10-4. The inadequate amount of acid produced at a nominal dose of 100 mJ/cm2 requires a catalytic chain length that is impractical in standard amplified systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to perform 0.2 micrometer processes, one needs to study the diffusion of photoacid generators within the photoresist system, since diffusion during post exposure bake time has an influence on the critical dimension (CD). We have developed a new method to study the diffusion of photoacid generators within a polymer film. This new method is based on monitoring the change of the fluorescence intensity of a pH- sensitive fluorescent dye caused by the reaction with photoacid. A simplified version of this experiment has been conducted by introducing acid vapor to quench the fluorescence intensity of this pH sensor. A thin polymer film is spin cast onto the sensor to create a barrier to the acid diffusion process. During the acid diffusion process, the fluorescence intensity of this pH sensor is measured in situ, using excitation and emission wavelengths at 466 nm and 516 nm, respectively. Fluoresceinamine, the pH sensitive fluorescent dye, is covalently bonded onto the treated quartz substrate to form a single dye layer. Poly(hydroxystyrene) (Mn equals 13k, Tg equals 180 degrees Celsius) in PGMEA (5% - 18% by weight) is spin cast onto this quartz substrate to form films with varying thickness. The soft bake time is 60 seconds at 90 degrees Celsius and a typical film has a thickness of 1.4 micrometers. Trifluoroacetic acid is introduced into a small chamber while the fluorescence from this quartz window is observed. Our study focuses on finding the diffusion constant of the vaporized acid (trifluoroacetic acid) in the poly(hydroxystyrene) polymer film. By applying the Fick's second law, (It - Io)/(I(infinity ) - Io) equals erfc [L/(Dt)1/2] is obtained. The change of fluorescence intensity with respect to the diffusion time is monitored. The above equation is used for the data analysis, where L represents the film thickness and t represents the average time for the acid to diffuse through the film. The diffusion constant is calculated to be at the order of 10-10 cm2/s to 10-12 cm2/s. All the experiments are conducted at room temperature and are valid only for acid vapor. With different film thickness, it was found that the acid diffuses through the film with a similar diffusion constant. The diffusion is faster with increased solvent residue in the film (controlled by spin coating speed). The theoretical computer modeling of the local acid concentration with respect to acid diffusion is also performed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a method to improve the simulation accuracy by adjustment of the resist and process parameters. The parameters are fitted by comparison of simulated and experimental determined lithographic functions: swing curves, development rate function and focus latitude curves for different doses within an accuracy of plus or minus 30 nm. Therefore we can use the simulation to support the process optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A thermally cross-linking bottom anti-reflectant, AR2, is evaluated. The material can be made in a range of absorptivities. An optimum optical density of about 9/(mu) ( 248 nm) which lowers photoresist swing curves to less than 2%, was chosen from optical modeling and etch rate measurements. The material offers spin bowl compatibility with common spin- coating solvents, and etch rates and conformality improvements over commercially available materials. Good profiles were obtained for several photoresists, and wider process windows than on planar silicon.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The etching rates of resist base polymers with several molecular weights were measured against fluorocarbon or chlorine plasma. The rate showed a minimum value at the weight-average molecular weight of approximately 10,000, and increased to a saturated value for higher molecular weights. For poly(p-hydroxystyrene) (PHS) protected with tert- butoxycarbonyl (BOC) or acetal (ACT) group, the rate became larger with increasing the protection ratio and the rate of BOC-protected PHS was lower than that of ACT-protected PHS. It was also found that the rate was significantly influenced by the prebake and increased as the temperature became higher. The etching rate of the resin with gradual cooling after the prebake was slower than that with quick cooling. These results may indicate that the dry etching resistance is independent of the density of resin film and influenced by the stability of arrangements of polymer molecules. The reduction of etching rate by the deep UV cure method has been tried. The rate decreased with the deep UV cure under N2 gas flow, while increased in the presence of O2 such as dry air. The films after deep UV cure under N2 and a dry air was scarcely dissolved in any organic solvents and this implies that the polymers are crosslinking. The absorbance band of carbonyl appeared in FT-IR spectra for the case under dry air may suggest that oxygen atoms in the polymer structure influence the dry etching resistance. We have tried deep UV cure under N2 gas flow for KrF chemically amplified PHS based resists and obtained the same level of etching rates as novolak resin. For ArF resists, the combination of the incorporation of acryl unit into the base polymer and deep UV cure under N2 gas flow may be an effective method for improving the dray etching resistance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DUV lithography is rapidly becoming the technology of choice for the manufacture of semiconductor devices with minimum geometry features below 0.35 micrometer. Beyond traditional exposure performance metrics such as depth of focus and exposure energy latitude, the enhanced sensitivities of chemically amplified resists to process parameters including airborne amine concentration, post exposure delay time, and post exposure bake temperature significantly affect the available process window. A critical dimension error budget model is used to compare experimentally determined wafer-to- wafer, within-wafer and intrafield linewidth variation against predicted values based on measured process sensitivities and tool performance parameters. The relative error contributions due to each source of linewidth variation and each technology component of the lithography process are discussed. Post exposure bake temperature is identified as a significant contributor to the measured within-wafer linewidth variation of 10.4 nm at 3 sigma when using APEX-E photoresist. Photomask linewidth non-uniformity is identified as a significant contributor to the measured intrafield variation of 15.8 nm at 3 sigma.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The optical constants of AZRBARLiTM bottom coat have been determined by spectroscopic ellipsometry as a function of bake conditions. Cauchy parameters are reported as a function of bake conditions for three film thicknesses, corresponding to the first three minima of the reflectivity versus AZRBARLiTM film thickness curve. Full dispersion curves were obtained for constant spin speed and constant thickness conditions. It is found that the k dispersion curves show a slope change at 160 - 170 degrees Celsius bake temperature which is tentatively attributed to dye depletion and the formation of a graded layer in the bottom coat.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effects of crosslinking agent on the lithographic performance of negative-tone resists based on poly(p- hydroxystyrene) (PHOST) have been investigated. Two crosslinking agents were used in the model resist systems: tetramethoxymethyl glycouril and 2,6-bis(acetoxymethyl) p- cresol. The resist containing tetramethoxymethyl glycouril shows better lithographic performance than the one with 2,6- bis(acetoxymethyl) p-cresol including better resolution, higher sensitivity, larger dissolution contrast, and less resist loss in the exposed regions during development. To reveal the origin of the difference in the lithographic performance, 13C NMR, FT-IR and differential scanning calorimetry (DSC) were employed to elucidate the crosslinking mechanisms, thermally induced crosslinking, the resulting network structure, and the crosslinking kinetics of the PHOST reaction with the two crosslinking agents. 13C NMR studies of model compound reactions suggest that the crosslinking of PHOST by tetramethoxymethyl glycouril proceeds via O- alkylation of the phenolic ring in the polymer, whereas the crosslinking of PHOST by 2,6-bis(acetoxymethyl) p-cresol proceeds via C-alkylation at the ortho carbon position of the phenolic ring. FT-IR studies indicate that there exists significant thermally induced crosslinking in the resist using 2,6-bis(acetoxymethyl) p-cresol as the crosslinking agent, while there is no detectable thermal crosslinking in the resist with tetramethoxymethyl glycouril as the crosslinking agent. The FT-IR results also show that the extent of crosslinking depends heavily on the crosslinking agent loading and the processing conditions. The effects of crosslinking chemistry on the crosslinked network structure and the lithographic performance of the two resists are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is well known that chemically amplified positive tone DUV resists are sensitive to substrate contamination, manifesting themselves as a 'foot' on TiN substrates. Studies have proposed that there is an interaction between nitrogen used in the formation of the TiN and the chemically amplified resist. This reaction occurs when the acid generated in the resist is neutralized by nitrogen and hence a foot is formed. However, the 'foot' abnormality of DUV resist over TiN substrates has not been fully understood or eliminated. In this paper, we study the performance of 0.25 mm features using Shipley's UVIIHS resist on a TiN/metal layer. When UVIIHS was initially evaluated the primary problem was the presence of a 'foot' at the bottom of the resist line. Subsequent studies were conducted on the effects of TiN thickness and composition. The results show the TiN thickness has little effect on the 'foot' while the TiN composition has a profound effect. As the N content in the TiN film increases the size of the food decreases. The foot decreases significantly when a very low nitrogen concentration is used and eliminated completely when using a barrier layer of 100 Ang Ti. Our results also demonstrate that surface pre-treatment of TiN using oxygen plasma can result in good 0.25 mm resist profiles with no noticeable foot present. The results we obtained indicate that chemical and physical properties of the TiN surface play a critical role in DUV resist performance. Therefore multiple spectroscopies have been employed to characterize TiN films including Rutherford backscattering, x-ray photoelectron, and time of flight secondary ion mass. These analysis provide many insights into the mechanism of the resist 'foot' phenomenon.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Exposures leading to 0.18 micrometer or better resolution are now being demanded by IC development. Photolithography using 193 nm exposure tools is the leading technology for the development of these next generation of devices. We are reporting on our development efforts on the TER resist system, which is a single layer resist designed for image evaluation applications at 193 nm exposure wavelengths. The TER-system has been developed to allow equipment manufacturers to evaluate their equipment, to provide R&D lithographers with materials to qualify their 193 nm equipment and to determine process control parameters. The TER-system is a chemically amplified methacrylate resist terpolymer. It is composed of methyl methacrylate (MMA), methacrylic acid (MAA) and an acid labile acrylic ester. We have evaluated different leaving groups as the acid labile component and we report on the initial results of several. We also examined different onium salts as the PAG component. One such example is di(t- butylphenyl)-iodonium p-toluenesulfonate and we report on other examples which were used. We evaluated the thermal stability of the resins and thermal analysis showed they start to decompose at about 125 degrees Celsius when tetrahydropyranyl methacrylate is used. Other more thermally stable systems were also evaluated. Post apply bake (PAB) temperatures of 100 - 125 degrees Celsius were preferably used with the tetrahydropyranyl ester. Other more thermally stable esters, such as tetrahydro-4-methyl-2-oxo-2H-pyran-4-yl methacrylate (mevalonic lactone), ethoxy-ethyl methacrylate and 3-oxo-cyclohexyl methacrylate, also are described. Exposures in the range of 5 - 50 mJ/cm2 were typical and varied depending on the ester, the PAG, and other processing parameters. The acid catalyzed reaction rates after exposure were observed to be rapid. In all cases, post exposure bake (PEB) was typically carried out at 10 degrees Celsius or lower. Initial exposure evaluations at 193 nm and 248 nm show good resolution and image fidelity. The TER-system produced better than 0.225 micrometer resolution using 248 nm exposure equipment (NA equals 0.55) suggesting that better than 0.18 micrometer resolution is possible with 193 nm exposures. Results of resist synthesis, formulation and evaluation are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Poster Session: New Materials and Characterization Methods
As specifications for trace metals are lowered in semiconductor chemicals, analysis time and the potential for contamination have increased. Two important metals: Na and K, are typically analyzed by graphite furnace AAS (GFAA). GFAA is capable of analyzing aqueous developers with very low detection limits, but GFAA is not the perfect procedure due to its long run time and the potential for contamination. The semiconductor industry needs a procedure to analyze Na and K at low levels quickly and with less of a chance for contamination. At OMM we have developed procedures using flame emission spectroscopy (FES) to analyze our aqueous developers for Na and K. Our Buck sodium analyzer is able to analyze aqueous developers substantially faster than GFAA with less chance of condemnation. We have improved on the Buck procedure using the TJA AA SCAN 1 FES which is able to analy Na and K in quick succession giving the appearance of simultaneous analysis, further speeding up the analysis. At OMM we have applied FES for the analysis of aqueous developers for very low detection limits and extremely shorter analysis times. In spite of the shortened analysis times our detection limits for developers is 200 ppt.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We tested 2-methyl-2-adamantylmethacrylate-mevalonic lactone methacrylate (2MAdMA-MLMA) resist on thick films and found that the pattern collapse determined the resist performance in high aspect ratio patterning. To solve this problem, we investigate the effects of modifying the softbake-PEB (post exposure bake) condition, the developer, and the photo acid generator (PAG). We replaced the 2.38% (0.27N) TMAH developer with a 0.27N TBAH (tetrabutylammonium hydroxide) developer, which functioned as a surfactant, and the collapse was reduced markedly for thicker films. As a result, the exposure and focus latitudes improved. These results suggest that good solubility in an exposed region reduces the collapse, allowing high aspect ratio patterning to be achieved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Poster Session: New Materials and Characterization Methods
In order to perform 0.2 micrometer processes, one needs to study the diffusion of photoacid generators within the photoresist system, since diffusion during post exposure bake time has an influence on the critical dimension (CD). We have developed a new method to study the diffusion of photoacid generators within a polymer film. This new method is based on monitoring the change of the fluorescence intensity of a pH- sensitive fluorescent dye caused by the reaction with photoacid. A simplified version of this experiment has been conducted by introducing acid vapor to quench the fluorescence intensity of this pH sensor. A thin polymer film is spin cast onto the sensor to create a barrier to the acid diffusion process. During the acid diffusion process, the fluorescence intensity of this pH sensor is measured in situ, using excitation and emission wavelengths at 466 nm and 516 nm, respectively. Fluoresceinamine, the pH sensitive fluorescent dye, is covalently bonded onto the treated quartz substrate to form a single dye layer. Poly(hydroxystyrene) (Mn equals 13k, Tg equals 180 degrees Celsius) in PGMEA (5% - 18% by weight) is spin cast onto this quartz substrate to form films with varying thickness. The soft bake time is 60 seconds at 90 degrees Celsius and a typical film has a thickness of 1.4 micrometers. Trifluoroacetic acid is introduced into a small chamber while the fluorescence from this quartz window is observed. Our study focuses on finding the diffusion constant of the vaporized acid (trifluoroacetic acid) in the poly(hydroxystyrene) polymer film. By applying the Fick's second law, (It - Io)/(I(infinity ) - Io) equals erfc [L/(Dt)1/2] is obtained. The change of fluorescence intensity with respect to the diffusion time is monitored. The above equation is used for the data analysis, where L represents the film thickness and t represents the average time for the acid to diffuse through the film. The diffusion constant is calculated to be at the order of 10-10 cm2/s to 10-12 cm2/s. All the experiments are conducted at room temperature and are valid only for acid vapor. With different film thickness, it was found that the acid diffuses through the film with a similar diffusion constant. The diffusion is faster with increased solvent residue in the film (controlled by spin coating speed). The theoretical computer modeling of the local acid concentration with respect to acid diffusion is also performed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The developments associated with a new plasma etching technique for sub micron process using DUV resist has placed a new requirement on wafer cleaning technology. Not only does it require a chemical solution to remove the etching residue, it is desirable to reduce residual chlorine. In our study, a metal stack, including TiN ARC 400 angstroms/AlCu 6500 angstrom/ barrier TiN 600 angstrom, is patterned with DUV resist and etched in a single wafer etcher. The chlorine level on the wafer surface is determined using WDXRF analysis. We have made morphological observations of the wafer cleanliness using field emission scanning electron microscopy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we report the development of novolak resins suitable for the formulation of positive and negative acting photoresists, which beneficially can be applied in micro- electronical-mechanical systems (MEMS). Based on an extensive screening program, selected properties of the novolaks have been optimized. Special emphasis has been laid on high chemical stability in strongly alkaline systems. The type of the phenolic compounds as well as the arrangement of the phenol moieties within the polymer chain has shown great influence on the resin properties and the performance of the resulting photoresists. The novolak resins have been prepared in laboratory scale and pilot scale using an optimized known two-step synthetic procedure. This procedure ensures for novolaks with reduced polydispersivities and allows the reproduction of the resin quality within a narrow tolerance interval. According to this synthetic procedure novolaks with particular arrangements of the phenolic moieties have been prepared. Novolak resins with alternating and semi-alternating structures have been shown to form a new polymeric matrix for the preparation of special positive and negative tone photoresists. These formulations meet the high requirements of electroplating processes with respect to the accuracy of the structural transformation, layer thickness and metal deposition conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A first-principles study into the effects of residual casting solvent on the lithographic properties of photoresist has been initiated. Solvent content has been measured using a quartz crystal microbalance and using radio-labeled solvent with scintillation counting. Resists of measured solvent content were then tested for their dissolution properties. Early results have been presented which show the expected strong relationship between solvent content and dissolution rate. Incorporation of these results into lithographic simulation allows the prediction of resist linewidth as a function of post apply bake conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
'Wavefront engineering' is the discipline of producing an exposure pattern, adequate for delineating resist at high yield, in spite of the limitations of the imaging technology. Although this discipline relies on century-old optical insights and despite decade-past experiments demonstrating dramatic improvements in resolution and process-window, this field has languished in comparison to traditional approaches, such as exposing with shorter wavelength radiation or larger numerical apertures. Further progress in NA and lambda will soon be limited by physical and materials considerations, necessitating other schemes for decreasing the critical dimensions (CDs) of volume-production devices. Today, with 193 nm systems delayed and non-optical approaches confronting infrastructure and economic barriers, the semiconductor industry is trying to adopt such wavefront engineering techniques as off-axis illumination (OAI), optical proximity correction (OPC) and phase-shifting masks (PSMs). CAD/CAM methods similar to those applied to optimize lenses and chips now are being applied to optimize the exposure-dose pattern itself.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The evolution of optical lithography is traced back more than 30 years to its beginning with contact printing. As the complexity of integrated circuits increased, the intolerance for defects drove the industry to projection printing. Projection printing was introduced in the early 1970s by imaging the full wafer at 1:1 magnification. The rapid increase in wafer sizes was accommodated by annular field scanning using 1:1 imaging mirror systems. Decreased linewidths and tighter overlay budgets combined with larger wafers created huge difficulties for the mask maker which weren't relieved until the introduction of reduction step-and- repeat printing of small blocks of chips in the late 1970s. Further demands for smaller linewidths and larger chips have driven optical lithography to shorter wavelengths and to scanning the chip in a step-and-scan printing mode. Future advancements in lithography will likely combine novel scanning techniques with further reductions in wavelength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper provides a short history of the development of resist materials. We trace the development of resists from the very beginnings of photography in the early 1800s to today's efforts to develop 193 nm resist materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.